Article 56XSH Intel Next-Gen 10-micron Stacking: Going 3D Beyond Foveros

Intel Next-Gen 10-micron Stacking: Going 3D Beyond Foveros

by
Dr. Ian Cutress
from on (#56XSH)

Section%201%20(40)_575px.jpg

One of the issues facing next-generation 3D stacking of chips is how to increase the density of the die-to-die interface. More connections means better data throughput, reducing latency and increasing bandwidth between two active areas of silicon that might be manufactured at different process nodes. There's also a consideration for power and thermal hotspots as well. Intel has been developing its own physical interconnect topologies, most of which we've covered in detail before, such as the Embedded Multi-Die Interconnect Bridge (EMIB) that allows 2D expansion and Foveros die-to-die 3D staking that enables vertical expansion. As part of Intel's Architecture Day 2020, we have a glimpse into Intel's future with hybrid bonding.

There are several holistic metrics to measure how good' an interconnect can be; the two that are easiest to understand are density of connections (bump density) and energy (how much energy it takes to transfer a bit).

Ramun1_575px.jpg
Intel's Ramune Nagisetty showcasing current packaging technologies at Intel

Intel's own slides show us that EMIB's bump density is good for ~400 per square millimeter, with a power of 0.50 picojoules per bit transferred. Foveros takes that a step further, supporting 400-1600 bumps per square millimeter, and an average power of 0.15 picojoules per bit transferred.

Section%201%20%2839%29_575px.jpg

The next era of Hybrid Bonding' that Intel is going towards improves both metrics by around a factor of 3-10. The new test chips that Intel has just got back into the lab, involving stacked SRAM, goes towards the 10000 bumps per square millimeter range, with a power under 0.05 picojoules per bit. According to Intel this allows for smaller and simpler circuits, with lower capacitance and better efficiency. Nothing to be said about yields however!

With these new bonding and stacking technologies, the question always becomes one of thermals, and how Intel might stack two performance-related bits of silicon together. In the discussions as part of Architecture Day, Intel stated that these stacked designs require having all layers designed together, rather than independently, in order to manage the electrical and thermal characteristics. As far as Intel sees it, the most power hungry layer is required to go on the top of the stack for the time being, which obviously means that the power connections have to either rise up through the lower layers, or there has to be some form of cantilevered situation where power connections can happen off the edge of the bonding - Intel calls this technology ODI, to support different sized silicon layers.

Section%201%20%2843%29_575px.jpg

With the future of high performance and high efficiency computing coming to a head with new packaging technologies, finding the right way of going forward is ever critical. For a context on timeline, Intel's Ramune Nagisetty has stated that Foveros was patented back in 2008, but it took nearly a decade for the process to become physically viable at scale and high-enough yielding for a product to come to market.

Related Reading

Gallery: Intel Next-Gen 10-micron Stacking: Going 3D Beyond FoverosRamun1_carousel_thumb.jpgSection%201%20(37)_thumb.jpgSection%201%20(37)_575px_thumb.jpgSection%201%20(37)_carousel_thumb.jpgSection%201%20(39)_carousel_thumb.jpgSection%201%20(40)_thumb.jpg
External Content
Source RSS or Atom Feed
Feed Location https://anandtech.com/rss/
Feed Title
Feed Link https://anandtech.com/
Reply 0 comments