Feed anandtech

Link https://anandtech.com/
Feed https://anandtech.com/rss/
Updated 2024-03-29 05:45
The Apple WWDC 2022 Keynote Live Blog (Starts at 10am PT/17:00 UTC)
As we round the corner after Computex and transition into June, it's time once more for Apple's annual World Wide Developers Conference. As always, Apple kicks off WWDC with their big keynote event, which though aimed first and foremost at developers, is also used as a venue to announce new products and ecosystem strategies. The keynote starts at 10am Pacific (17:00 UTC) today, and AnandTech will be offering live blog coverage of Apple's event.With WWDC going virtual once again this year, we're expecting another rapid-fire, two-hour run through of Apple's ecosystem. WWDC keynotes have historically covered everything from macOS and iOS to individual Apple applications and more. On the hardware side of matters, in previous years we've seen things like the official announcement of Apple's shift from x86 to Apple Silicon; and while 2021 was light on hardware, one never quite knows what Apple has in store. Apple has yet to launch an Arm-based Mac Pro, so there's still some big surprises left in their bag, and of course there's always the chance of the periodic product refresh.So join us at 10am Pacific to see just what Apple is working on for this year and beyond.
Destination 30 TB: HDD Vendors Plan Different Routes to Hit Storage Milestone in 2023
In the recent months all three hard drive manufacturers — Seagate, Toshiba, and Western Digital — and some of their partners have outlined plans to ship 30TB HDDs already in 2023 ~ 2024 timeframe. Apparently, all of these companies plan to use different technologies to get to this milestone.Demand for high capacity nearline hard drives has been increasing for years and is not going to stop, as more data is generated each and every day. But nearline HDD users not only want their drives in large quantities, but they want a rapid increase in capacity as well, in a bid to keep the number of drives (and therefore the number of servers and power consumption of datacenters) in check. But capacity increases have been slowing down in the recent years, mainly because of slow roll out of energy-assisted magnetic recording (EAMR) technologies.
NZXT Announce N7 and N5 Z690 Motherboards for Intel 12th Gen Core Processors
NZXT has announced a pair of new motherboards designed for Intel's 12th Gen Core processors: the N7 Z690 and N5 Z690. The N7 Z690 positions itself as the premium model of the pairing and comes with armor covering the vast majority of the PCB, Wi-Fi 6E, and support for memory speeds up to DDR4-4800. The N5 Z690 opts for a less aggressive approach at a more affordable price but still comes with Wi-Fi 6E and supports all of Intel's Alder Lake Core processors.Starting with the most premium of NZXT's new motherboard pairing for Intel's 12th Gen family of processors, the NZXT N7 Z690 follows a similar design to previous iterations of its N series models, including the N7 Z490 which we previously reviewed. As with previous N series models from NZXT, these are designed around its range of chassis, such as the H series models, for a seamless look and design. Perhaps the most prominent feature of the N7 Z690 is that it has armor plating covering practically all of the PCB for a cleaner and sleeker look.There's space underneath the sleek armor for up to three PCIe 4.0 x4 M.2 drives and four SATA ports for conventional HDDs and optical media drives. NZXT includes one full-length PCIe 5.0 x16 slot, with the second full-length slot operating at PCIe 4.0 x4 and the bottom full-length slot operating at PCIe 3.0 x4. Inbetween the full-length slots are two PCIe 3.0 x1 slots, while the N7 Z690 includes support for DDR4 memory at speeds up to DDR4-4800, with four slots supporting up to 128 GB in total.The NZXT N7 Z690 is available in both black and white and includes a mid-range feature controller set with a Realtek RTL8125BG 2.5 GbE controller, an Intel AX210 Wi-Fi 6E CNVi, and a Realtek ALC1220 HD audio codec.The NZXT N5 Z690 is the more affordable of the pair and doesn't include all of the armor of the N7; instead, opting for a more barebone look that users are accustomed to with entry-level motherboards. It does have the same networking configuration as the N7 (RTL8125BG 2.5 GbE and AX210 Wi-Fi 6E), but it uses a cheaper and lower grade Realtek ALC897 HD audio codec, as well as support for DDR4 memroy up to DDR4-5000 speeds.It has the same PCIe configuration as the N7 with one full-length PCIe 5.0 x16, one full-length PCIe 4.0 x4, one full-length PCIe 3.0 x4, and two PCIe 3.0 x1 slots. The N5 Z690 even includes four PCIe 4.0 x2 M.2 slots which is one more than the more expensive N7 Z690 model.The NZXT N7 Z690 is available in black or white and can be purchased now directly from NZXT for $300. Without all the armor and a lower grade HD audio codec, the NZXT N5 Z690 is also available in black or white and can be bought from NZXT directly for $240.Gallery: NZXT Announce N7 and N5 Z690 Motherboards for Intel 12th Gen Core ProcessorsSource: NZXT
Intel Unveils Rialto Bridge: Second-Gen Xe-HPC Accelerator to Succeed Ponte Vecchio
With ISC High Performance 2022 taking place this week in Hamburg, Germany, Intel is using the first in-person version of the event in 3 years to offer an update to the state of their high performance/supercomputer silicon plans. The big news out of the show this year is that Intel is naming the successor to the Ponte Vecchio accelerator, which the company is now disclosing as Rialto Bridge.Previously appearing on Intel’s roadmaps as “Ponte Vecchio Next”, Intel’s GPU teams have been pipelining the development of Ponte’s successor even as the first large installation of Ponte itself (the Aurora Supercomputer) is still being stood up. As part of the company’s 3 year (ish) roadmap that leads to CPUs and accelerators converging with the Falcon Shores XPU, Rialto Bridge is the part that will, if you’ll pardon the pun, bridge the gap between Ponte and Falcon, offering an evolution of Ponte’s design that’s making use of newer technologies and manufacturing processes.
Intel Showcases Sapphire Rapids Plus HBM Xeon Performance at ISC 2022
Alongside today’s disclosure of the Rialto Bridge accelerator, Intel is also using this week’s ISC event to deliver a brief update on Sapphire Rapids, the company’s next-generation Xeon CPU which is shipping later this year. While Intel has been beating the drum for their forthcoming, 4 Generation Xeon Scalable chip for a while, we have yet to hear anything of significance about its expected performance – particularly in the HPC space. So ahead of its formal launch a bit later this year, Intel is finally talking a bit about the expected performance of the HBM-equipped version of the chip, which is aimed in particular at the HPC/supercomputing crowd.Intel’s first tiled Xeon processor, Sapphire Rapids is also Intel’s first CPU to offer optional on-chip HBM memory, which is being dubbed Sapphire Rapids Plus HBM. The addition of 64GB of HBM2e makes it a fairly complex and expensive chip, but also one with access to far more memory bandwidth than any x86 CPU before it. As a result, the chip is of particular interest to a subset of the high-performance compute community, as it offers an alternative route for workloads that aren’t suitable for GPUs, but still need access to vast amounts of memory bandwidth.As part of their ISC presentation today, Intel is releasing two slides with performance figures for the HBM version of Sapphire Rapids (Sapphire Rapids Plus HBM). The idea here is to show off the combination of architecture improvements – and in particular, the dedicated accelerator blocks – combined with using 64GB of HBM2e memory to keep those blocks well fed. The pre-production processors are being compared to Intel’s Xeon Platinum 8380 (Ice Lake-SP) chips.Bearing in mind that these are going to be cherry-picked performance figures, Intel is seeing anywhere between a 2x speed-up in things like the WRF weather forecasting model, to over a 3x improvement for the CloverLeaf Euler equation solver. Both of which are somewhat narrow use cases, but important ones for the HPC market segment.Sapphire Rapids Plus HBM is due to be released alongside the rest of the Sapphire Rapids family later this year. According to Intel’s current roadmaps, it is due for a successor in the 2023 timeframe, before the entire HBM-equipped Xeon lineup is due to be rolled into the Falcon Shores XPU in 2024.Gallery: Intel ISC 2022 Press Deck
AMD Corrects Socket AM5 Power Specifications: 170W TDP and 230W PPT
At Computex 2022, the CEO of AMD, Dr. Lisa Sui, unveiled its Ryzen 7000 series of processors, as well as the associated AM5 platform. But while discussing specific details about its new platform for Zen 4 and beyond, AMD inadvertently ended up creating a conflux of confusion around the AM5 platform by quoting different power figures to different groups. Ultimately, at different points AMD was quoting 170 Watts as both the highest nominal TDP supported by the platform, as well as the Power Package Tracking (PPT) rating, which is the absolute highest amount of power a chip can draw under load. It goes without saying that these two claims shouldn't both be right, and a correction was needed.As first reported by the Tom's Hardware crew, AMD has published a statement addressing the confusion, and proving the correct values. In short, the 170 Watt TDP was correct. Meanwhile the PPT value is actually 230 Watts – which at 1.35x the TDP rating, is typical for AMD's Ryzen processors.AMD's full statement is below:
Computex 2022: TeamGroup Announces T-Force Delta RGB DDR5-6600 CL34 and DDR5-6000 CL30 Memory
Memory vendor TeamGroup has announced two new T-Force Delta RGB DDR5 memory kits during Computex 2022, being held in Taipei, Taiwan. This includes a high-frequency kit clocked to DDR5-6600 with a latency of CL34, as well as a low-latency kit operating at DDR5-6000 CL30. Both will be available in black or white and come with RGB LEDs.Intel was the first company to introduce DDR5 memory to the desktop market in November 2021 with its 12th Gen Core series of processors. During its keynote at Computex, AMD announced that its latest Ryzen 7000 processors, due in the fall, will also support DDR5 memory, among many other interesting features. We did test how well DDR5 memory scales on Intel's 12th Gen Alder Lake platform, and there is merit to using both high-frequency and low latencies to maximize performance.TeamGroup intends to add two new speed grades of its T-Force Delta RGB DDR5 memory, one with DDR5-6600 CL34 specifications and one with DDR5-6000 CL30. Both variants will be available in 32 GB kits (2 x 16 GB), with options including a striking white or subtle black heatsink. Both color schemes include an RGB-enabled lightbar with a 120° angle with smart RGB customization control. TeamGroup states that it uses carefully selected memory ICs, but they don't specify which manufacturer's DRAM the kits will be using.The TeamGroup T-Force Delta RGB DDR5-6600 CL34 and DDR5-6000 CL30 are expected to hit retail shelves in July, but we currently don't have any details on pricing.Source: TeamGroup
ASML High-NA Development Update: Coming to Fabs in 2024 - 2025
It took the semiconductor industry over a decade to prep everything needed for production of chips using extreme ultraviolet (EUV) lithography. It looks like it is going to take a lot less to reach the next level — EUV with High-NA.Higher Resolution NeededNowadays the most advanced chips are made on 5/4-nm-class process using EUV lithography ASML's Twinscan NXE:3400C (and similar) systems that feature a 0.33 numerical aperture (NA) optics, which provides a 13 nm resolution. This resolution is good enough for a single-pattern approach at 7 nm/6 nm nodes with 36 nm ~ 38 nm pitches and at 5nm with 30 nm ~ 32 nm pitches. But as pitches get below 30 nm (at beyond 5 nm nodes) the 13 nm resolution might call for dual lithographic exposure that is going to be used for years to come.For post-3nm nodes, ASML and its partners are working on a brand-new EUV tool — the Twinscan EXE:5000-series — featuring a 0.55 NA (High-NA) lens capable of an 8nm resolution, which is projected to avoid multipatterning at 3 nm and beyond. The new High-NA scanners are still in development, they are expected to be extremely complex, very large, and expensive — each of them will cost over $400 million. High-NA will require not only new optics, but a new light source too, and even new fab buildings to accomodate the larger machines, which will require major investments.But in a bid to keep scaling performance, power, area, and costs (PPAc) of semiconductors, leading makers of logic chips and memory devices are willing to adopt new technologies, and High-NA EUV scanners are crucially important for post 3-nm nodes. As a result, demand for High-NA tools is, well, pretty high.10 to 20 High-NA Systems to Be DeliveredSeveral weeks ago, ASML disclosed that it had received multiple orders in Q1 2022 for its High-NA Twinscan EXE:5200 systems (EUV 0.55 NA) from both logic and DRAM customers. Last week it clarified that it had five orders for pilot High-NA scanners due to be delivered in 2024 and 'over five' orders for subsequent models featuring higher productivity that will be delivered starting from 2025, reports Reuters.Interestingly, back in 2020 ~ 2021, ASML said that it had has received High-NA commitments from three customers, for a total of up to 12 systems. Keeping in mind that logic makers are usually the first to adopt leading edge tools, it is safe to bet that Intel, Samsung Foundry, and TSMC committed in 2020 ~ 2021 to get pre-production High-NA scanners. Moreover, ASML has already started building the first High-NA system, which will be completed in 2023 and will be used by Imec and ASML customers for research and development purposes."On High-NA EUV, we are making good progress and have currently started the integration of the first High-NA system in our new cleanroom in Veldhoven," said Peter Wennink, chief executive of ASML. "We received multiple orders for our EXE:5200 system in Q1. We also received additional EXE:5200 orders this month, April. With these bookings, we now have High-NA orders from three Logic and two Memory customers. The EXE:5200 is ASML's next model High-NA system and will provide the next step for lithography performance and productivity."ASML's Twinscan EXE:5200 is considerably more complex than regular Twinscan NXE:3400C machines, so it takes longer time to build these tools. The company hopes that it will be able to deliver as many as 20 High-NA systems in mid-term future, which probably means that its customers will have to compete for these machines."We are also discussing with our supply chain partners to secure a capacity of around 20 EUV 0.55NA systems in the medium term," said Wennink.Intel First to Adopt Pre-Production ToolsSo far, the only process technology confirmed to use ASML's High-NA tools is Intel's 18A node and that one was once scheduled to enter high-volume production in 2025, around the time when ASML starts to deliver its production High-NA EUV systems. But recently Intel pulled-in the start of18A production to the second half of 2024 and indicated that it could use ASML's Twinscan NXE:3600D or NXE:3800E for its 18A manufacturing, presumably via multi-patterning.While Intel's 18A technology would greatly benefit from High-NA EUV tools, it looks like Intel does not necessarily need Twinscan EXE:5200 machines for this node. Usage of multi-patterning for commercial chips means a longer product cycle, lower productivity, higher risks, and potentially lower yields (though the latter is not cast in stone). Yet, it looks like Intel wants its 18A node to arrive as soon as possible, perhaps because it considers it a major tool that will allow it to recapture process technology leadership from TSMC. Consequently, Intel's updated plans are now to phase in High-NA tooling during 18A's lifecycle if the tools are completed on time.Of course, it remains to be seen whether usage of 0.33 NA EUV scanners for 18A will offer enough productivity for Intel and customers of Intel Foundry Services. But, at least in 2024, Intel is not going to have any choice but to use machines that it has.Other leading makers of semiconductors — TSMC, Samsung, SK Hynix, and Micron — will also inevitably adopt High-NA EUV for high-volume manufacturing of chips. The only question is when exactly this is when exactly this is set to happen.
Computex 2022: ASRock Unveils X670E Taichi and Taichi Carrara Edition Motherboards
At Computex 2022 in Taipei, Taiwan, ASRock has lifted the lid on a few of its X670E motherboards designed for AMD's upcoming Ryzen 7000 series of processors. The first two of ASRock's socket AM5 motherboards include the X670E Taichi and the special edition X670E Taichi Cararra. While both X670E Taichi boards are aesthetically different, the two share the same core feature set, including support for PCIe 5.0, DDR5 memory, and dual Thunderbolt 4-enabled USB Type-C ports on the rear panel.The ASRock Taichi series is one of the most popular and premium models in its line-up. For years, the Taichi aesthetic has brought cogwheels to the design, and the Z590 Taichi for Intel's 11th Gen Core series even included a motorized cogwheel integrated into the rear panel cover.The only difference between the ASRock X670E Taichi and the X670E Taichi Cararra is the design. The regular X670E Taichi has a primarily black finish with a bronze-looking trim on the left-hand side of the board, with RGB LEDs built into the chipset heatsink.
Computex 2022: MediaTek Announces Wi-Fi 7 Access Point and Client Platforms
Mediatek was one of the first vendors to demonstrate working 802.11be-compliant silicon under the Filogic lineup in January 2022. As part of the announcements at this week's Computex, the company provided additional technical details along with part numbers. The Filogic 880 platform will service access points, routers, and gateways, while the Filogic 380 will be seen in the client devices.Wi-Fi 7 / 802.11be BackgroundThe 802.11 Working Group focused on extremely high throughput when starting work on 802.11be. This has been achieved primarily through a combination of three different aspects:
Sponsored Post: Comino Grando RM Multi-GPU Workstations Offer Unmatched Performance and Quality
Comino Grando RM workstations are a new breed of Hyper Performance workstation (HYPE WS) that can transform the workflows of creatives, scientists and medical researchers who rely on artificial intelligence and machine learning.If your work is dependent on some serious GPU horsepower, Comino Grando RM workstations offer a solution that isn’t just extremely powerful - but also incredibly quiet. This is thanks to their server-grade liquid cooling, which keeps the temperature of the components down, even when working hard. Rather than using noisy fans, which can get distracting, water cooling is more efficient, and crucially, a lot quieter.Comino Grando RM workstations are built to order in the EU, maintaining the highest possible build quality, and thanks to a range of unique configuration options, you can order one that perfectly suits your needs.Built to LastComino’s motto is “engineered, not assembled”, and each workstation has been designed from the ground-up to provide maximum performance and build quality.Almost every aspect of these workstations are designed and built by Comino, with over 90% of the components designed by the company itself. This level of detail and control allows each part of the workstation to work as one, delivering unrivalled performance and reliability.The unique liquid cooling loop in every workstation is controlled and monitored by a controller built by Comino, which uses numerous sensors to keep track of the system’s health and performance. Thanks to Comino Monitoring Software, you can also check your system’s health remotely, for complete peace of mind.The flexibility of Comino Grando RM workstations doesn’t just stop at unique configurations, but also how you buy. You can purchase Comino Grando RM workstations outright, but for UK customers there are also options to lease them as well.Handling Everything You NeedThanks to the raw power of Comino Grando RM workstations, they can cover almost all of your inhouse computation tasks, and you’ll rarely need to rely on cloud services to help finish your projects.Even better, if you have several Comino Grando RM workstations, they can be used to create your very own cloud, allowing you to access the power of these Hyper Performance workstations remotely - perfectly fitting in with our new hybrid way of working.Because they are so silent when in use, you can use them in an office or studio, or you can install them in a server rack. When installed in a server rack, they can use external cooling for even more impressive performance, supporting up to seven GPUs per system. This method can also be environmentally friendly, as the heat dispelled by Comino Grando RM systems can be repurposed to heat living and office spaces.Packing hardware like four Nvidia RTX A100 80GB GPUs plus AMD Threadripper Pro, dual Intel Xeon 8368Qs, or dual EPYC CPUs, air cooling solutions would struggle to keep these components cool without producing a lot of noise.With server-grade liquid cooling, AI research teams, for example, can use the workstations without being distracted by the whirring of fans. Meanwhile, medical teams working in highly controlled environments will appreciate the slower airflow produced by liquid cooling, as well as reduced dust collection.So, it’s no surprise that Comino Grando RM workstations have been embraced by companies around the world, and used in projects such as the Flamemapper in California, which uses Comino Grando RM Multi-GPU workstations to help predict the effect of wildfires on structures, and can help emergency planners to place protective measure where they are needed the most.Many CG and 3D rendering studios rely on Comino Grando RM Multi-GPU workstations to create complex and realistic models and scenes.Danil Krivoruchko, a renowned digital artist based in New York, and who works with the likes of Apple, Nike and Boeing, explains how Comino Grando RM workstations have helped him with his work.“I’ve used numerous Comino Grando RM workstations during my work on the breakthrough user-influenced self-evolving NFT project, Organic Growth: Crystal Reef, which combines generative art, blockchain, NFTs and user interaction. This project is so unique, multi-layered and complex both visually and computationally, that we had to hire the whole render farm, packed with Grando RMs by Comino, for 3 months to support its development. I got the workstation for myself, as well, to progress through the project faster, as it’s hard to find another workstation as versatile and quiet as Grando RM.”Successful AI artist and NFT enthusiast from New Zealand, Jesse Woolston, also relies on Comino’s workstations.“My approach is to use the Comino Grando RM for all my modeling and simulation. So I use it for production, then I have a small render farm to utilize GPU alongside the Comino.My work focuses on using AI & physics modeling as a means to re-contextualize how we see nature. So far, I've been able to use the Comino Grando RM in multiple circumstances from training my own models to simulating the oceans. The machine has been working beautifully in both large and small scales.The main factor as to why I love the Comino Grando RM is its ability to be diverse with training and modeling, where I can give it any and all assignments.I don't have to think too deeply about how to adapt the work to fit my tools, I am able to just utilize the tools and focus on the art.”Influencers such as sentdex and LEDunit have also been blown away by the possibilities of Comino Grando RM workstations.If it sounds like Comino Grando RM workstations would be perfect for your projects, then head over to Comino’s Grando website to find out more.
Computex 2022: ASUS Announces ROG Swift 500 Hz G-Sync Gaming Monitor
In direct collaboration with NVIDIA, ASUS has announced the world's first 500 Hz G-Sync enabled gaming display during Computex 2022. The latest ROG Swift 24.1-inch display will feature a 500 Hz panel with a 1080p resolution and comes loaded with features such as NVIDA's Reflex Analyzer and a new vibrance mode.Designed more the fast-paced eSports titles such as Counter-Strike: Global Offensive, Valorant, Overwatch, and titles like these, the latest monitor from ASUS's premium ROG Swift range now features an impressive 500 Hz E-TN display. Not to be confused with the standard TN panels, ASUS uses its new "eSport TN" technology, which ASUS claims offers 60% better response times.In the above video embedded, ASUS and NVIDIA give a small demonstration of the benefits of moving to 500 Hz from 240 and 144 Hz. It tests things such as animation smoothness, ghosting, and system latency.The ASUS ROG Swift 500Hz uses a 24.1-inch panel with a 1080p resolution. It also benefits from NVIDIA G-Sync and NVIDIA's Reflex Analyzer, which is designed to detect system latency, measure mouse clicks when using an NVIDIA Reflex certified gaming mouse, and to measure the time for the resulting pixels to change on the screen.ASUS also includes a new enhanced vibrance mode, which is specifically tuned for eSports and is built into the monitor's firmware. ASUS claims this allows light to travel through the LCD crystals for better color vibrancy.As we've seen from previous ROG Swift releases over the years, don't expect this to be cheap or affordable for the everyday gamer. At the time of writing, ASUS hasn't revealed the expected MSRP of the ROG Swift 500 Hz gaming monitor, nor does it state when it might hit retail shelves.Source: ASUS
Computex 2022: MSI Announces Three AM5 Motherboards For Ryzen 7000 Processors
During Computex 2022 in Taipei, Taiwan, MSI unveiled four AM5 motherboards designed for AMD's Ryzen 7000 processors, which will be landing later this year. Currently announced are the premium MEG X670E Ace, the mid-ranged MPG X670E Carbon WIFI, and the more business-focused Pro X670-P WIFI motherboards.Hot on the heels of AMD's announcement that its highly anticipated Ryzen 7000 processors for desktops will be dropping sometime in the fall, motherboard vendors have been slowly unveiling what boards we can expect to see at launch. MSI has dropped some limited information on four new AM5 boards, including two from its flagship MEG series, one from its gaming-focused MPG family, and one from its more affordable Pro series.Here is what we know so far:Although MSI has announced there will be an X670E Godlike, we currently don't have any images for this model. As we know from previous motherboard launches, the MSI X670E Godlike will be the company's flagship AM5 board for Ryzen 7000 processors, with a large E-ATX sized PCB and a wave of high-end enthusiast-level features. One interesting feature of the MEG series boards is a front panel USB 3.2 G2x2 Type-C header with support for 60 W power delivery.MSI hasn't specified much or distinguished much of its announced features between either model. Still, MSI does say the MEG series for AM5 includes a monstrous 26-phase power delivery (24+2) with 105 A smart power stages and a stacked fin array heatsink to help cool it. MSI also states that the MEG series will include a large metal backplate with up to four M.2 slots onboard and an M.2 Xpander-Z Gen5 dual add-on card supporting two PCIe 5.0 M.2 SSDs. Whether these features are specific to the entire MEG series for AM5 remains to be seen.The MSI MPG X670E Carbon WIFI uses a carbon black finish across the board, with two full-length PCIe 5.0 slots. MSI states the X670E Carbon WIFI will include four PCIe 5.0 M.2 slots and that the board will be powered by a 20-phase (18+2) power delivery with 90 A power stages.MSI's fourth and final model is the Pro X670-P WIFI motherboard, which is primarily aimed at SMEs and users looking to build a more professional type system. MSI says the X670-P WIFI will include a 16-phase (14+2) power delivery, with a single PCIe 5.0 M.2 slot and 2.5 GbE/Wi-Fi 6E networking.At the time of writing, MSI hasn't provided any information regarding controller sets at this time, or how much each of the four models might cost. MSI will likely give more details closer to the launch of the AMD Ryzen 7000 CPUs, which are expected to hit retail shelves in Q4 2022.Gallery: Computex 2022: MSI Announces Three AM5 Motherboards For Ryzen 7000 ProcessorsSource: MSI
Computex 2022: ASUS Unveils ROG Crosshair X670E Extreme Motherboard for Ryzen 7000
Following on from AMD's official announcement during Computex 2022 that its highly anticipated Ryzen 7000 series of processors will land sometime in Q4 2022, ASUS has lifted the lid on its flagship AM5 motherboard. Based on AMD's 'Extreme' X670 chipset variant, the ASUS ROG Crosshair X670E Extreme motherboard is fully loaded with many features, including PCIe 5.0, support for DDR5 memory, and large 22-phase power delivery for enthusiasts.Designed to offer leading-edge performance for AMD's Ryzen 7000 processors, the ASUS ROG Crosshair X670E Extreme, ASUS has gone all out with a premium controller set and a wide array of features for users to get the most out of the new AM5 socket. This includes a typical ASUS ROG design with plenty of RGB LED lighting and its latest Q-Design features designed to make installation as easy as possible. It also benefits from an AniMe Matrix 2 LED display and ASUS's LiveDash OLED for maximum customizability.Q-Design includes new release buttons for the PCIe slots and right-angled connectors on the right-hand side of the board for better cable management.The ROG Crosshair X670E Extreme's main features include a large 22-phase (20+2) power delivery with premium 110 A power stages. At the same time, networking options consist of a Marvell AQtion 10 GbE controller, a secondary Intel 2.5 GbE controller, and Intel's Wi-Fi 6E CNVi. For audio, ASUS uses a ROG SupremeFX ALC4082 HD audio codec with a premium ESS Sabre ES9218PQ DAC for ultra-low distortion.Although ASUS hasn't revealed a complete list of specifications, we know the X670E Extreme will feature two full-length PCIe 5.0 slots operating at x16/x0 or x8/x8, with a smaller PCIe slot at the bottom. It also benefits from five M.2 slots, with two of these conforming to the latest PCIe 5.0 specification and adding USB4 ports and a USB 3.2 G2x2 Type-C front panel header for connectivity. ASUS also includes a ROG M.2 add-in card next to the four DDR5 memory slots, although we don't know what type of drives these will support at the time of writing.As we previously mentioned, ASUS hasn't unveiled the full specifications list of the ROG Crosshair X670E Extreme motherboard, nor how much it will cost. We expect ASUS to reveal more details as we head closer to AMD's Ryzen 7000 launch, expected sometime in the fall of 2022.Gallery: ASUS Unveils ROG Crosshair X670E Extreme Motherboard for Ryzen 7000Source: ASUS
NVIDIA To Release Liquid Cooled A100 and H100 PCIe Accelerators
Among NVIDIA’s slate of announcements tonight at Computex 2022, the company has revealed that it is preparing to launch liquid cooled versions of their high-end PCIe accelerator cards. Being offered as an alterative to the traditional dual-slot air cooled cards, the liquid cooled cards come in a more compact single-slot form factor for both improved cooling and improved density. The liquid cooled A100 will be available in Q3, and a liquid cooled H100 will be available early next year.While liquid cooling is far from new in the datacenter, it’s typically been reserved for more bespoke hardware with extreme cooling and/or density requirements, such as the upcoming generation of high-end NVIDIA H100 (SMX) servers. PCIe servers, by contrast, are all about standardization and compatibility. Which for server video cards/accelerators means dual slot cards designed for use with forced air cooling within a server chassis. This serves the market segment well, but the 300 to 350 Watt TDPs of these cards means that they can’t get any thinner and still be effectively cooled by air – which in turn creates a 4 card limit for standard rackmount systems.But times are changing, and liquid cooling is being implemented in datacenters in greater capacities both to keep up with cooling ever-hotter hardware, and to improve overall datacenter energy efficiency. To that end, NVIDIA will be releasing liquid cooled versions of their A100 and H100 PCIe cards in order to give datacenter customers an easy and officially supported path to installing liquid cooled PCIe accelerators within their facilities.The cards (pictured above) are essentially a reference A100/H100 with the traditional dual-slot heatsink replaced with a single-slot full coverage water block. Designed to be integrated by server vendors, they use an open loop design that is meant to be used as part of a larger liquid cooling setup.But other than changing the cooling system, the specifications of the cards remain unchanged. NVIDIA isn’t increasing the TDPs or clockspeeds on these cards, so their performance should be identical to traditional air cooled cards (so long as they’re not thermally throttling, of course). Put another way, these new cards are using liquid cooling to improve energy efficiency and density, rather than performance.The first card out of the gate will be the liquid cooled version of the 80GB A100 PCIe accelerator. That will be available to customers in Q3 of this year. Meanwhile a liquid cooled version of the H100 PCIe is also under development, and NVIDIA expects that to be available in early 2023.In the interim, NVIDIA has been working with Equinix in order to qualify the liquid cooled A100 within their datacenters, as well as to get an idea of the real-world power savings of the new hardware. Interestingly, NVIDIA is reporting a significant reduction in overall datacenter power usage from switching to liquid cooling – a 2000 server (4000 A100 card) setup saw its total power needs drop by 28%. According to NVIDIA, this is from a combination of overall power savings across the datacenter from the switch, including everything from improved video card energy efficiency from lower temperatures, to reduced energy needs from cooling water versus running large air chillers. All of which underscores why NVIDIA is promoting liquid cooled hardware as a power efficiency gain for datacenter operators who are looking to trim power usage.And while this first generation of liquid cooled hardware is focused on efficiency, according to NVIDIA that won’t always be the case. For future generations of cards the company will also be looking at liquid cooling to improve performance at current energy levels – presumably by investing the datacenter-scale gains back into higher TDPs for the cards.Finally, while the bulk of NVIDIA’s announcement today (as well as the case study) is focused on PCIe cards, NVIDIA is also revealing that they’ve been working on official, liquid cooled designs for their HGX systems as well, which are used to house the company’s more powerful SMX cards. A liquid cooled HGX A100 is already shipping, and a liquid cooled HGX H100 is slated to be released in Q4.
Computex 2022: NVIDIA Keynote Live Blog (11pm ET/03:00 UTC)
Kicking off day two of our Computex coverage is NVIDIA, who will be delivering an hour-long keynote for the show.The untitled keynote is slated to run for an hour and features a who's who of NVIDIA VPs, including Ian Buck (VP Accelerated Computing), Michael Kagan (CTO), and Jeff Fisher (SVP GeForce). So expect the varied presentation to cover everything from datacenters to gaming. Or, as NVIDIA puts it:"AI on the NVIDIA accelerated computing platform is revolutionizing everything from gaming to data centers to robotics. NVIDIA will present how AI is powering the enterprise data center and the latest products and technologies for gamers and creators."So be sure to join us at 11pm Eastern (03:00 UTC) to see what NVIDIA has in store for its users big and small over the coming months.
AMD Announces Mendocino APU: 6nm Mainstream Mobile Zen 2 Laptop Chip Coming In Q4
Alongside their big desktop update for later in the year, AMD is also using this year’s Computex to announce an update to the low-end segment of their mobile lineup. In the fourth quarter of this year the company will be rolling out a new chip codenamed “Mendocino”, which is aimed at mainstream, high-volume Windows and ChromeOS notebooks. With 4 Zen 2 cores and RDNA 2 graphics, the relatively petite chip is intended to go into notebooks in the $399 to $699 range.Based on its intended market segment, AMD Mendocino (no relation to Intel’s) is positioned to end up as the successor to a mix of lower-end AMD SoC products, including the bottom of the Ryzen mobile stack (e.g. Ryzen 5300U) as well as AMD’s Ryzen C-class chips. Mainstream laptops are a huge part of the market in volume, and for both good reasons and bad, it’s always been an area where AMD has done well for itself. And while the current chip shortage hasn’t been fully resolved, AMD is finally in a position to update the lower-end of its APU lineup with some newer hardware built on a more recent manufacturing process, replacing their current hodgepodge of mostly Picasso (4C 12nm Zen+) based SKUs.
AMD Ryzen 7000 Announced: 16 Cores of Zen 4, Plus PCIe 5 and DDR5 for Socket AM5, Coming This Fall
During the AMD Keynote at Computex 2022, its CEO, Dr. Lisa Su officially unveiled their next generation of Ryzen processors and the successor to the highly successful Ryzen 5000 series. The new family, the Ryzen 7000 series, will feature up to 16 Zen 4 cores using TSMC's optimized 5 nm manufacturing process.AMD Ryzen 7000 also officially marks the end of its long-serving AM4 socket, with the new AM5 LGA1718 socket replacing it with a freshly announced trio of new performance-driven chipsets, including X670E, X670, and B650.
Computex 2022: AMD Live Blog Keynote (2am ET/06:00 UTC)
Although COVID isn't over quite yet, things are close enough for this year's Computex event. Kicking things off once again is AMD, who is broadcasting a keynote address headed up by the one and only Dr. Lisa Su.According to AMD, the PC-focused keynote will cover both mobile and desktop, with AMD and its partners focusing on cutting edge hardware and "breakthrough performance." AMD's Computex keynotes rarely disappoint, and we don't expect this one too, either.So be sure to join us at 2am Eastern (06:00 UTC) to catch a glimpse of what AMD has planned for the rest of 2022 and beyond.
Qualcomm Announces Snapdragon 7 Gen 1: Bringing Armv9 To Premium Smartphones
Alongside the new Snapdragon 8+ Gen 1, as part of Qualcomm’s “Snapdragon Night” event in China this evening, the company is also rolling out a brand-new SoC for the premium phone market, the Snapdragon 7 Gen 1. Aimed downmarket of Qualcomm’s traditional flagship SoCs, the Snapdragon 7 Gen 1 is the first non-flagship SoC to be introduced by Qualcomm since they implemented their new platform naming and differentiation scheme. But, like the Snapdragon 7xx series before it, the latest Snapdragon 7 SoC follows the same design mantra of offering flagship-level features with more modest performance and costs.
Qualcomm Announces Snapdragon 8+ Gen 1: Moving to TSMC for More Speed, Lower Power
As the dark of the night rolls into China this evening, Qualcomm is hosting a mobile-focused product launch event they’re calling “Snapdragon Night”. Headlining the event is the announcement of the company’s new flagship SoC, the Snapdragon 8+ Gen 1. A mid-generation update to their flagship smartphone SoC, the Snapdragon 8 Gen 1, the 8+ Gen 1 follows Qualcomm’s annual tradition of releasing a refresh product to boost performance and to give partners something new to work with for the second half of the year. And for this year in particular, we’re looking at a very notable change in chips from Qualcomm.Unlike previous generations where Qualcomm merely launched a faster speed bin of their existing silicon, for 2022 we have something more substantial to talk about. Qualcomm has switched up foundries entirely – moving from Samsung to TSMC – and as a result is rolling out a new die. Thanks to this, the Snapdragon 8+ Gen 1 Qualcomm is reaping something of a one-off manufacturing gain, allowing them to both dial up CPU and GPU performance while simultaneously cutting power consumption.
SK hynix Releases Platinum P41 SSD: Going Even Faster With PCIe 4 and 176L NAND
First introduced back at CES 2022, this morning SK hynix is finally kicking off sales of their new retail consumer SSD, the Platinum P41. The successor to the popular Gold P31, the P41 incorporates the latest controller and NAND technology from SK hynix, upgrading their flagship SSD lineup with PCIe 4.0 connectivity and the performance to match. Though with prices topping out at $260 for the 2TB model, it would seem that SK hynix has even greater ambition than before, placing the P41 squarely in the high-end segment of the SSD market.
The SilentiumPC Fera 5 CPU Cooler Review: Quiet Cooling on a Budget
In today’s review, we are taking a look at the Fera 5 tower CPU cooler from SilentiumPC.SilentiumPC's main focus is to design and produce products with a very good price-to-performance ratio – which is to say, budget products for the mainstream market. The Fera 5 CPU cooler, in turn, embodies those ideals, with a focus on effective and quiet cooling from a cooler that sells for around €30. And while a budget cooler isn't going to chart new territory in the CPU cooling space, as we'll see, SilentiumPC has been able to strike a solid balance between performance and price, delivering a tower cooler that is notably quieter than typical budget coolers.
GIGABYTE Issues Recall on Z690I Aorus Ultra Motherboards, Citing PCIe Gen 4 Issues
GIGABYTE has officially announced that it is offering an RMA replacement service for users that have purchased one of its key mini-ITX models for Intel's 12th Gen Core series processors, the Z690I Aorus Ultra. With reports of numerous users experiencing compatibility and crashing issues when using PCIe 4.0 graphics cards on the board's single full-length slot, GIGABYTE has opened up a special returns program for specific customers to offer a replacement board with the particular PCIe Gen 4 issue fixed.On its website, GIGABYTE announced publically that it had investigated reports of customers experiencing issues with the Z690I Aorus Ultra and concluded that the PCIe 4.0 slot isn't working as intended. Users' fundamental problems when using the GIGABYTE Z690I Aorus Ultra came through a WHEA PCIe error, WHEA being short for Windows Hardware Error Architecture, which is designed to report errors when a system is experiencing instability.
Applied Materials Outlines Next-Gen Tools for 3nm and GAA Transistor Era
Last month Samsung Foundry quietly announced that it was set to begin producing chips using its 3GAE (3 nm-class, gate-all-around transistors, early) process technology in the second quarter. While the industry's first 3 nm-class node with GAA transistors is a noteworthy achievement by itself, one thing that is particularly important is that to make GAA transistors efficiently, fabs have to be equipped with new production tools. Fittingly, Applied Materials has recently outlined their next generation of tools that will be used to enable Samsung (and other fabs) to build their first GAA chips.Gate-All-Around Transistors: Solving Many Problems at OnceNew process technologies should enable higher performance, lower power, and higher transistor density to meet requirements of chip designers. But this combination has been particularly hard to achieve in the recent years as shrinking transistor sizes lead to negative effects like leakage current. To keep scaling performance and voltages while minimizing transistor sizes, the industry began transition from planar to FinFET transistors (which increased the contact area between the channel of the transistor and the gate by making the gate taller) in 2012 and this type of transistors will stay with us for a long time. But the pace of FinFET-based nodes innovation is slowing down as negative aspects of transistors shrinking starting to kick in.Ever since Intel introduced its 22 nm FinFET-based technology over a decade ago, chipmakers began to talk about the next inevitable step in transistor design, which is gate-all-around. As the name suggests, in GAAFETs channels are horizontal and are surrounded by gates around all four sides of the channels, which solves many problems associated with the leakage current. But this is not the only advantage: in nanosheet/nanoribbon-based GAAFETs it is possible to adjust channel width to get higher performance or reduce power consumption. Since Samsung's 3GAE and 3GAP technologies use nanosheets, Samsung calls its GAAFETs multi-bridge channel field-effect transistors (MBCFETs) to emphasize that it does not use nanowires. Furthermore, Applied Materials claims that GAA architecture reduces transistor variability, which means improved yields and faster time-to-yield (at least as far as transistor performance is concerned) and reduces cell area by 20% to 30%.While all chipmakers have been talking about GAAFETs and their advantages over FinFETs at various academia industry events, Samsung was the first company to announce a transition to the new type of transistors with its 4 nm node sometimes in 2022 ~ 2023. Eventually, the company's plans changed a bit and in 2019 it unveiled its GAAFET-based 3GAE and 3GAP nodes with high volume manufacturing due in 2022 and 2023, respectively. Last year the company re-emphasized plans to kick off production using its 3GAE in 2022 and this quarter it said that volume production was set to start in Q2.But Manufacturing Is ChallengingBut production of chips using any leading-edge process technology is challenging and making products using Samsung's MBCFET-based 3GAE node certainly poses some risks not only because we are talking about a 3 nm-class manufacturing process, but because manufacturing of GAA transistors is different than making FinFETs.Channels of GAA transistors are shaped using lithography, epitaxy and selective materials removal, processes that enable chipmakers to fine-tune width and uniformity for optimum power and performance. Those epitaxial steps are considerably more complex when compared to those with FinFETs as manufacturers need to deposit the multi-layer gate oxide and metal gate stacks around the tiny 10 nm channels, which is a key challenge with GAAFETs. This is where Applied's high-vacuum Integrated Materials Solution (IMS) systems come into play.Firstly, Applied's Producer Selectra Selective Etch IMS tool removes unnecessary SiGe to isolate the gate from the source/drain and define channel width without damaging surrounding materials. Secondly, the Applied Centura Prime Epi IMS tool can deposit an ultra-thin gate oxide layer using integrated atomic layer deposition (ALD), thermal, plasma treatment, and metrology steps. Applied's IMS can reduce gate oxide thickness by 1.5 angstroms compared to competing solution, which allows to make gates thicker and enable higher drive current and therefore transistor performance without increasing current leakage, which is a consequence of thin gates.Applied introduced its first Selectra etching system in 2016 and since then has shipped over 1,000 chambers that are currently in use by its customers, so the industry is quite aware how to use them. While etching is a widely used process, its usage and importance will grow as the industry begins to transit to newer process technologies, including those based on GAA transistors.It is necessary to note that numbers shared by Applied Materials come from various companies (including TSMC and Qualcomm) and should not be applied exclusively to Samsung Foundry's 3GAE and 3GAP nodes. Meanwhile, the general advantages of GAA/MBC/nanoribbon/nanosheet transistors, as well as challenges with their manufacturing, are similar for the whole industry.Samsung's 3GAE: +30% Performance, -45% AreaSpeaking of advantages, Samsung expects its 3GAE process to offer a 30% performance increase or a 50% power consumption reduction, and a 45% area reduction when compared to 7LPP. Such tangible benefits may be enough to justify challenges associated with this transition in the short term.For now, the use of 3GAE manufacturing technology that relies a brand-new transistor structure is challenging in general, as in addition to new electronic design automation (EDA) tools, Samsung will need to invent new IP, and adopt brand-new design rules. All of these things, when combined with new lithography, new etching, and new deposit steps are going to make Samsung's transition difficult.But in exchange, it will start gaining experience with GAA transistors years ahead of Intel and TSMC, which may bring certain gains in the long-term future. Furthermore, experience with GAAFETs will be beneficial for Samsung's DRAM manufacturing as memory greatly benefits from smaller cell sizes and higher transistor densities.
AMD Prepares New "Raise The Game" Bundle - Rushing to Counter Falling Card Prices
As retail video card prices drop back down to more normal prices following 18 months of cryptocurrency-fueled madness, AMD and its partners are quickly finding themselves with a new concern: AMD video card prices are dropping too much. As Ethereum mining profitability has plummeted, so has the price on video cards, especially the highest margin cards at the high-end of AMD’s product stack. As a result, the company is undertaking a rapid effort to shore up video card prices by bringing back game bundles with a new Raise The Game campaign.The tried and true tool for boosting the value of video cards in slower times, game bundles are a favored tactic of video card makers to improve the value of their product, allowing them to improve their competitiveness or value to the consumer without changing the hardware itself. With the street value of a new AAA PC game going for $60 – and video card makers paying far less than that via bulk purchases and in-kind advertising – video game bundles are a relatively cheap way to boost the value of a product or otherwise stave off a more painful price cut. And while game bundles are a common fixture in more normal times, there hasn’t been a need for them in the past year as video cards have been quickly selling out without the additional help.But as normalicy returns to the video card market and demand for new cards from miners has all but dried up (Ethereum mining profitability is now back to its pre-2021 levels), video card sales have dropped significantly. And so have their prices: in the last 2 days alone, the cheapest Radeon RX 6900 XT on Newegg has dropped from $949 to $849, which now puts it a good $150 below AMD’s original MSRP.
Intel Launches Alder Lake-HX Series Core Processors: 55W and PCIe 5.0 For High-End Mobile
Intel today is announcing a new range of mobile 12th Gen Core family processors designed for enthusiast and desktop-replacement class laptops, the Alder Lake-HX series. Focusing on the more performance-driven high-end models, the Alder Lake HX series transfers its hybrid desktop design (ADL-S) into a thinner BGA package with up 16 cores (8P+8E) that's more suitable for mobile devices. And since it's based on that desktop hardware, Alder Lake-HX also brings with it some additional features that were previously limited to the desktop, such as support for PCIe 5.0, as well as a more desktop-like base TDP of 55 Watts.In January of this year, Intel launched its first Alder Lake 12th Gen Core mobile processor, the Alder Lake-H family. In February, it then announced more for the lower-powered market through its Alder Lake-P and Alder Lake-U series with up to 14 cores with a mixture of its Performance (P) and Efficiency (E) cores. Intel has now officially launched the more premium of its 12th Gen Core series for mobile, the Alder Lake-HX series with up to 16 cores (8P+8E), and its new memory and core overclocking technology.The latest in Intel's arsenal is designed for premium and enthusiast laptops, with seven new chips ranging from sixteen cores and twenty-four threads, all the way down to an eight-core and twelve thread SKU. According to Intel, the Alder Lake-HX series completes its Alder Lake mobile family, with options spanning many different markets using its hybrid 12th Gen Core architecture to deliver a significant range in mobile performance for enthusiasts, gamers, and workstation use cases.
Intel: Desktop Arc A-Series Launch Now Staggers in to Q3'22
Ahead of Intel’s Vision event this morning, the company has published a short update on the status of the ongoing launch of their Arc family of GPUs. Penned by VP and GM of Intel’s Visual Compute Group, Lisa Pearce, the blog post addresses a few questions around the Arc launch, and particularly when we should expect to see the launch of Intel’s first desktop cards.Starting there first, Pearce has announced that the desktop Arc launch has for all practical purposes slipped, with Intel now outlining a more staggered approach to their desktop launch. The first Arc desktop products were previously slated to launch later in Q2, and while this technically remains true, Intel’s Q2 launch plans are now limited to the Chinese market. The worldwide launch of the first desktop Arc products will then follow that in Q3 of this year.Furthermore, that initial launch is going to be limited to the low end (3-series) parts of the Arc product stack, all of which are based around the ACM-G11 GPU. This is the same GPU that’s being used in Intel’s first wave of mobile Arc products as well, so like in mobile, Intel is starting small and working their way up on the desktop. The desktop launch of the rest of Intel’s stack, the mid-range Arc 5 and high-end Arc Arc 7 products, will then follow in late summer. This will be a worldwide launch, however Pearce is very careful to note that it’s initially for “OEMs and system integrators”, with a retail release to follow later.Given Intel’s slow, regional-focused rollout of their first Arc mobile products, these developments are not entirely unexpected. As Pearce accurately notes in her blog post, Intel tends to launch low-end (and low-volume) parts in China first, which is something we saw last year with the release of Intel’s Iris Xe (DG1) products. Compared in particular to the North American and European markets, the Chinese market is far more weighted towards entry-level parts, and logistically it makes for an easier launch since this is where many boards and board components are made to begin with.Intel Arc Launch DecoderArc 3 MobileArc 5 & 7 MobileArc 3 DesktopArc 5 & 7 DesktopChina: OEMLaunchedEarly SummerQ2Later This SummerChina; Retail--After OEMsAfter OEMsRest of World: OEMShipping NowEarly SummerQ3Later This SummerRest of World: Retail--After OEMsAfter OEMsMeanwhile, Pearce’s blog post also offers an update on the ongoing rollout of Intel’s Arc mobile products. Acknowledging that Arc 3 laptop shipments are behind schedule, according to Pearce Intel has been hamstrung by a combination of unspecified software issues and China’s significant COVID lockdowns. As a result, Arc 3 laptops are only now finally becoming available on a worldwide level.With the kinks apparently worked out, Intel is now preparing for the launch of the first mobile Arc 5 and Arc 7 products. Like Arc 3, the mobile parts will debut first. According to Pearce, the first Arc 5 and Arc 7 laptops will be available early this summer, hinting at a post-Computex (and likely Q3) release.Overall, Intel has been planning a relatively modest launch from the start, with just a bit over 4 million GPUs slated for 2022. So the silver lining to their multiple schedule delays, at least, is that the company is getting some of the kinks worked out of the process while they’re still dealing with what’s ultimately small potatoes. With future generations the stakes will go up – and so will the expectations.
AMD Releases Radeon RX 6950 XT, 6750 XT, and 6650 XT: A Bit More Speed For Spring
AMD this morning is taking the wraps off a trio of new Radeon RX 6000 series video cards to round out its product stack. Aimed at everything from the midrange to flagship markets, the new Radeon RX 6950 XT, RX 6750 XT, and RX 6650 XT are being released as a mid-generation kicker to the Radeon family, to give AMD’s most important cards one last boost in performance. Taking advantage of newer 18Gbps GDDR6 memory along with slightly improved clockspeeds, the new cards, being released today, promise modest performance gains while giving AMD a chance to show off what their RDNA2 GPU architecture can do after nearly 18 months of refinement.
Western Digital Announces 22TB CMR and 26TB SMR HDDs: 10 Platters plus ePMR
Western Digital is announcing the sampling of its new 22TB CMR and 26TB SMR hard drives today at its What's Next Western Digital Event. As usual, the hyperscale cloud customers will get first dibs on these drives. The key takeaway from today's presentation is that Western Digital doesn't yet feel the need to bring heat-assisted magnetic recording (HAMR) into the picture. In fact, WD is doubling down on energy-assisted PMR (ePMR) technology and OptiNAND (introduced first in the 20TB CMR drives). WD is also continuing to use the triple-stage actuator that it started shipping in the first half of 2020 in the new drives. It goes without saying that the new high-capacity drives are helium-filled (HelioSeal technology). The main change common to both drives is the shift to a 10-stack design.The SMR drives are getting an added capacity boost, thanks to WD's new UltraSMR technology. This involves adoption of a new advanced error correction algorithm to go along with encoding of larger blocks. This allows improvement in the tracks-per-inch (TPI) metric, resulting in 2.6TB per platter. The new Ultrastar DC HC670 uses ten platters to provide 26TB of host-managed SMR storage for cloud service providers.ArmorCache TechnologyAs part of the announcements, WD also provided additional details on the caching feature enabled by OptiNAND - ArmorCache. Last year's announcement was quite light in terms of actual performance numbers, but the 20TB OptiNAND drives have been out in the market for a few quarters now.The UFS-based iNAND package helps the OptiNAND-based HDDs deliver upwards of 80% improvement in IOPS for low-queue depth large-sized random writes (10% - 20% improvement for small-sized writes) in use-cases where the write cache is disabled. Since non-OptiNAND HDDs can only cache whatever can be flushed out to the serial flash during emergency power-off situations, the larger cache size afforded by the iNAND device relaxes this limitation considerably. As discussed in the OptiNAND announcement coverage last year, use-cases with write caching enabled benefit from the EPO data protection afforded by the iNAND device. Enabling write caching often requires hosts to send out cache flush commands to the HDDs. These commands require the HDD to stop accepting new commands until the completion of the flush, resulting in loss of performance. The ArmorCache makes these flush commands unnecessary, allowing the drive to be used with full performance with no risk of data loss due to EPO.Concluding RemarksThe 22TB Ultrastar DC HC570 and 26TB Ultrastar DC HC670 are currently sampling to hyperscalers. Volume shipment of the CMR drive to the channel is set for the next quarter. The Ultrastar HC HC670 is a host-managed SMR drive, and will hence ship only to select customers around the same timeframe. Western Digital will be delivering variants of the CMR drive across its HDD portfolio - 22TB WD Purple Pro for surveillance NVRs, WD Red Pro for NAS systems, and WD Gold for SMB and enterprise customers in summer.The updated capacity points - in particular, the jump in the SMR drive capacity - delivers clear TCO benefits to WD's cloud customers. Crucially, WD believes it has enough trust in its ePMR setup to deliver 30TB+ HDDs without having to go the HAMR route. From a technology perspective, this will make the upcoming roadmap / product announcements from Seagate (HAMR deployment for publicly-available drives) and Toshiba (need for any technology beyond FC-MAMR) interesting to watch.
SanDisk Professional PRO-BLADE Modular SSD Ecosystem Unveiled
Western Digital is announcing a host of products under the SanDisk and SanDisk Professional brands today at its What's Next Western Digital Event. The company also provided a second look at products introduced during the recently concluded NAB show - the G-DRIVE PRO STUDIO SSD with a 7.68TB enterprise-class desktop SSD inside, and the addition of 20TB Ultrastar drives to the G-RAID SHUTTLE 8 (driving up the top capacity to 160TB).The new products being announced today have been designed to address issues faced by high-volume content creators. The most exciting of the lot is the SanDisk Professional PRO-BLADE modular SSD ecosystem. Western Digital has created a compact enclosure for its PCIe 3.0 x4 NVMe SSDs (with a custom, but not proprietary connector). Two options are provided to connect this PRO-BLADE SSD Mag to a host system - the PRO-BLADE TRANSPORT is the portable sleeve with support for a single mag, while the PRO-BLADE STATION is the JBOD desktop version with support for up to four mags.The target market for the PRO-BLADE products include professionals and prosumers requiring multiple high-speed portable drives for for content capture and backing up on the field, while needing quick transfers back at their editing desks. The lightweight high-capacity mags enable easy portability and consolidation of storage in use-cases previously restricted to heavy multi-HDD systems. Western Digital provided a real-world example where 42 lbs. worth of storage devices could be replaced by a set of drives weighing in at less than 7 lbs.The company also pointed out the rising prevalence of professional capture devices with the ability to record directly to USB-C SSDs. The PRO-BLADE TRANSPORT can also be used directly with those cameras and the mag transferred directly to the JBOD PRO-BLADE STATION. The number of mags in use can vary based on the workflow requirements.On the hardware front, the SSD Mag employs a 40-pin custom connector and has features to aid in easy hot-swapping. The enclosure is also designed to withstand drops (up to 3m) and has 4000lbs of crush resistance. The aluminum body also aids in heat dissipation. The mags are qualified for more than 2000 mating cycles with the TRANSPORT / STATION enclosures. The custom connector is designed to ensure no loss of the internal SSD's native speeds - supporting up to 3GBps reads and 2.6GBps writes, with the actual value varying depending on the enclosure used.The TRANSPORT enclosure employs a USB 3.2 Gen 2x2 (20Gbps) Type-C host interface and adds additional thermal protection to the mag's aluminum enclosure. The desktop STATION enclosure has a Thunderbolt 3 host interface to support the mag's maximum transfer rates and has additional thermal management in the form of active cooling and adequate ventilation slots.The table above summarizes the key features of the products in the PRO-BLADE ecosystem.On the SanDisk front, the company is announcing new Extreme PRO UHS-I cards in both SD and microSD form-factors. The key differentiation compared to previous UHS-I offerings is the ability to ingest data from these cards at up to 200MBps - almost double the UHS-I rates. SanDisk used to offer the previous generation Extreme PRO UHS-I cards with a 170MBps read speed rating using proprietary extensions, and the new cards have improved on this speed.The higher speeds can be unlocked with the PRO-READER card reader that can be used with the PRO-DOCK4 announced in May 2021 during the launch of the SanDisk Professional brand. The cards work at normal UHS-I speeds when used with other card readers.We are particularly excited about the PRO-BLADE SSD Mag and its custom connector. Given the non-proprietary nature, it would be interesting to see if NAS vendors adopt it. Currently, hot-swappable NVMe SSDs in SMB / SOHO NAS systems are restricted to the U.2 form-factors, and general M.2 support is available only directly on the NAS motherboard. The adoption of the PRO-BLADE mag's form-factor could be a consumer-friendly option.
Western Digital Announces WD_BLACK SN850X NVMe and P40 Game Drive SSDs
Western Digital is announcing a host of consumer-focused SSD solutions today at its What's Next Western Digital Event. The gaming-focused WD_BLACK is getting the SN850X NVMe SSD and the P40 Game Drive SSD, while the SN740 is now making an appearance as PCIe 4.0-capable M.2 2230/42/80 NVMe SSD under the regular Western Digital brand.The WD_BLACK drives are slated for a summer release. The SN850X is a PCIe 4.0 x4 NVMe SSD with read speeds of up to 7300 MBps, and will be available in 1TB ($190 MSRP), 2TB, and 4TB capacities. The 1TB and 2TB models have an optional heat sink with RGB lighting included. Official datasheets with additional details are expected closer to launch in July 2022.The P40 Game Drive SSD is a USB 3.2 Gen 2x2 (20Gbps) external drive that builds upon the P50 model by adding RGB lighting to the casing. It will be available in 500GB ($120 MSRP), 1TB, and 2TB capacities in summer 2022.Western Digital also talked about the new 'Game Mode 2.0' feature in the WD_BLACK Dashboard for unlocking additional performance-boosting features on Windows PCs. This mode is available for the SN850X NVMe SSD. The dashboard software can also be used with the P40 Game Drive to control the RGB lighting.
AMD Announces Ryzen 5000 C-Series For High-End Chromebooks
In September 2020, AMD released its Ryzen 3000 C-series for Chromebooks, a line up of budget-priced chips based on the 12nm Picasso (Ryzen+) architecture that featured up to 4 cores and 8 threads and up to 11 Radeon Vega compute units. Nearly two years on and AMD has just announced four new Ryzen 5000 C-series SKUs designed to bring the performance benefits of its Cezanne (Zen 3) architecture to the Chromebook space.The four new Ryzen 5000 C-series processors range from 8C/16T to 2C/4T, all with a 15 W TDP and designed to improve productivity and battery life over existing Ryzen 3000 C-series processors and Intel's 11th Gen Tiger Lake chips.Aimed at the premium area of the Chromebook market, the new AMD Ryzen 5000 C-series looks to offer OEMs and users premium options for the latest generation of Chromebooks with some higher-end features such as fast charging and Wi-Fi 6E networking capability.AMD Ryzen 5000 C-Series For Chromebooks (Zen 3)AnandTechCore/
Qualcomm Launches Wi-Fi 7 Networking Pro Series for 10Gbps Access Points
The last few months have seen multiple vendors launch products in the Wi-Fi 7 (802.11be) space. Mediatek demonstrated working 802.11be-compliant silicon under the Filogic lineup earlier this year. Concrete technical details and part numbers were not announced during the event. Last month, Broadcom introduced a comprehensive Wi-Fi 7 portfolio, detailing multiple 802.11be radios for access points, a networking SoC built keeping 802.11be bandwidth in mind, and a client radio for mobile applications.Wi-Fi 7 / 802.11be BackgroundThe 802.11 Working Group's focus with 802.11be has been on extremely high throughput. This has been achieved primarily through a combination of three different aspects:
VESA Launches Variable Refresh Display Performance Standards: AdaptiveSync and MediaSync
Kicking off what’s set to be a busy May, this morning the Video Electronics Standards Association (VESA), the PC display industry’s primary consortium, is rolling out a new set of performance standards for variable refresh rate displays. Dubbed AdaptiveSync and MediaSync, these new test standards are designed to offer an industry-neural and open specification for the behavior and performance of DisplayPort displays. AdaptiveSync is a standard designed for high-end gaming displays, while MediaSync is aimed at eliminating video jitter on a much wider array of devices.
Intel: Meteor Lake Chiplet SoC Up and Running
Alongside Intel’s regular earnings report yesterday, the company also delivered a brief up on the state of one of their most important upcoming products, Meteor Lake. Intel’s first chiplet/tile-based SoC, which completed initial development last year, has now completed power-on testing and more. The news is not unexpected, but for Intel it still marks a notable milestone, and is important proof that both Meteor Lake and the Intel 4 process remain on track.Meteor Lake, which is slated to be the basis of Intel’s 14 generation Core processors in 2023, is an important chip for the company on several levels. In terms of design, it is the first chiplet-based (or as Intel likes to put it, “disaggregated”) mass-market client SoC from the company. Intel’s roadmap for the Core lineup has the company using chiplet-style SoCs on a permanent basis going forward, so Meteor Lake is very important for Intel’s design and architecture teams as it’s going to be their first crack at client chiplets – and proof as to whether they can successfully pull it off.Meanwhile Meteor Lake is also the first client part that will be built on the Intel 4 process, which was formerly known as Intel’s 7nm process. Intel 4 will mark Intel’s long-awaited (and delayed) transition to using EUV in patterning, making it one of the most significant changes to Intel’s fab technology since the company added FinFETs a decade ago. Given Intel’s fab troubles over the past few years, the company is understandably eager to show off any proof that its fab development cycle is back on track, and that they are going to make their previously declared manufacturing milestones.As for this week’s power-on announcement, this is in-line with Intel’s earlier expectations. At the company’s 2022 investor meeting back in February, in the client roadmap presentation Intel indicated that they were aiming for a Q2’22 power-on.
Intel Reports Q1 2022 Earnings: Improved Enterprise Sales Buoy Weaker Client Revenue
Kicking off our coverage of the first earnings season of the year for the tech industry, we as always start with Intel. The blue-hued blue-chip company is the first out of the gate to report their results for the first quarter of 2022, with Intel reporting something of a mixed quarter. With revenue down on a yearly basis – thanks in large part to softer client sales – Intel is no longer on a hot streak of setting records. At the same time, however, the company has dialed back its lofty expectations accordingly, and as a result was still able to slightly edge out its Q1 guidance.For the first quarter of 2022, Intel booked $18.4B in revenue, a drop of 7% from the year-ago quarter. Buffering the company against this revenue drop on a GAAP basis has been an improvement in both operating income and net income, both of which benefitted from some one-off restructuring and investment gains (McAfee equity sale). As a result, Intel booked $8.1B in net income on a GAAP basis, which is a 141% improvement on the year. Otherwise, stripping things back to non-GAAP financials, things more closely mirror the overall revenue picture with a 35% decline in income.
Solidigm Launches First Post-Intel Enterprise SSDs: D7-P5620 and D7-P5520
Back in late 2021, Intel and SK hynix completed the first stage of their long-awaited transfer of Intel’s NAND (and NAND-based SSD) business to SK hynix. That company, in turn, took Intel’s NAND SSD assets and put them under their own subsidiary, Solidigm, who took over sales of Intel’s existing SSD portfolio. Now, a few months later, Solidigm is gearing up to release its first post-Intel SSDs, the enterprise-focused D7-P5520 and D7-P5620.Diving right into matters, Solidigm is essentially picking up right where Intel left off, both in regards to product design and product naming. Intel was already seeing solid market penetration with its D-series branding, so there’s little reason to give up a good thing for the new Solidigm. In any case, the new drives are designed and marketed to slot right in as the next-generation parts in the D7 lineup, which is Solidigm’s highest performance drives for the enterprise and server market.From a pure specification standpoint, the big news with the latest generation of drives is that Solidigm has doubled their capacities. The D7-P5620 will be available in capacities from 1.6TB to 12.8TB, while the D7-P5520 will go up to 15.36TB for its larger form factor drives. As you might expect, Solidigm is also using their own NAND here. The company's current tech is still their 144L TLC NAND, which means the P5620 has received a NAND upgrade (Intel never released a 144L P5600 series drive), while it's the same NAND as before for the P5520.Solidigm D7 Enterprise SSDsD7-P5620D7-P5600D7-P5520D7-P5510Form FactorU.2 2.5" 15mmU.2 2.5" 15mm
Kioxia Announces XG8 Client SSDs: PCIe 4.0 and BiCS5 For OEMs
Kioxia this morning is rolling out its next-generation of full-size client SSDs, the XG8 family. Like its predecessors, the XG8 is aimed at the OEM market, for customers who are after a full M.2 2280-sized drive and are looking for better performance than the company’s more integrated and compact BG-series drives. Notable features for the latest-generation drive include a PCIe 4.0-capable controller, as well as capacities as high as 4TB.And while we’d normally use the company’s previous-generation drive as a baseline to illustrate what’s new with the latest drive, today’s announcement of the XG8 is a bit weird, even by Kioxia’s own OEM-centric standards. The predecessor to the XG8 is the XG7, which was launched in November of 2020 with a very similar feature set: a PCIe 4.0 M.2 2280 SSD with capacities up to 4TB. However, for reasons we’re still not entirely clear on, the XG7 only saw a very limited deployment among OEMs, to the point that Kioxia never even put together a proper product page for the part. As a result, the company is taking a mulligan of sorts and is starting fresh with the XG8, positioning it as the successor to the PCIe 3/BiCS4-based XG6.Kioxia XG8 SSD SpecificationsCapacity512 GB1 TB2 TB4 TBForm FactorM.2 2280InterfacePCIe Gen4 x4, NVMe 1.4NAND Flash112L BiCS5 3D TLCStarting with the basics, the XG8 is a M.2 2280 form factor SSD with a PCIe 4.0 x4 connection. Kioxia is using their 5 generation, 112-layer BiCS5 NAND, with capacities ranging from 512GB up to 4TB. That NAND is being paired with their own in-house controller, which offers NVMe 1.4 support as well as the option for the usual OEM-centric security features such as TCG Pyrite 2.01 and TCG Opal 2.01.Kioxia classifies the drive as a high-end product – particularly in relation to the BG5 – though as this is again by OEM standards, it’s a bit up in the air by enthusiast standards. Unfortunately, Kioxia isn’t providing any hard performance figures in advance of today’s announcement, so we don’t even have on-paper numbers to go by. For the moment, Kioxia is primarily throwing around 2x the performance of the XG6, most of which I’d expect to come from the switch to PCIe 4.0 and the additional host-to-controller bandwidth that affords.Based on the lack of specification information and the fact that so many figures line up with the absentee XG7, it’s not at all clear what sets the XG8 apart from its more recent sibling. Presumably something has changed, if only to avoid another misfire, but at this point we’d just be guessing. Though it is notable that this drive family appears to be doing away with the ‘P’ designation that Kioxia used for high capacity drives in previous generations (e.g. XG6-P), as there is no mention of that sub-family of drives this time around.Finally, this is probably one of the last BiCS5 client drives we’ll see from Kioxia. The WD/Kioxia joint venture has been producing BiCS5 NAND since late 2020, and according to recent WD earnings calls, 162L BiCS6 is expected to go into mass production late this year.In the meantime, the XG8 is now sampling to Kioxia’s customers, and should start showing up in OEM laptops and desktops soon.
TSMC Roadmap Update: N3E in 2024, N2 in 2026, Major Changes Incoming
Taiwan Semiconductor Manufacturing Co. has solid plans for the next few years, but the foundry's manufacturing technology design cycles are getting longer. As a result, to address all of its clients' needs, the company will have to keep offering half-nodes, enhanced, and specialized versions of its fabrication processes.TSMC's success in the last 20 years or so was largely conditioned by the company's ability to offer a new manufacturing technology with PPA (power, performance, area) improvements every year and introduce a brand-new node every 18 – 24 months while maintaining predictably high yields. But as complexity of modern fabrication processes gets to unprecedented levels, it is getting much harder to keep the pace of innovation while also sustaining predictable yields and simple design principles.With TSMC's N3 node, the gap between N5 (5 nm-class) ramp up and N3 (3 nm-class) ramp up will increase to around 2.5 years, which may pose some challenges to the foundry's key customer, Apple. The good news is that N3's follow up, N3E, seems to be coming in ahead of schedule. Meanwhile, with N2, the cadence is set to stretch to about three years, which largely means a strategic shift in TSMC's strategy of node development.N3E: An Improved 3nm Node Pulled In (Almost)TSMC's N3 is set to bring in full node improvements over N5, which includes 10% ~ 15% more performance, 25% ~ 30% power reduction, and an up to 1.7X higher transistor density for logic. To do so, it will use more than 14 extreme ultraviolet (EUV) lithography layers (N5 uses up to 14, and N3 is expected to use even more) and will introduce certain new design rules for deep ultraviolet lithography (DUV) layers.Advertised PPA Improvements of New Process Technologies
The Vertiv Edge Lithium-Ion 1500 VA UPS Review: Impeccable Power, Imposing Price
Today we are having our first contact with Vertiv, a giant on power and cooling solutions for industrial IT infrastructure. Vertiv is taking a step into the top-tier retail market with their new UPS series, the Edge Lithium-Ion. Does it pose a threat to established manufacturers, such as APC, Eaton, and Cyberpower? We find out in this review.
The FSP Hydro PTM Pro 1200W PSU Review: Improving on the Tried and True
Today we are having a look at one of the best PSUs FSP has to offer, the Hydro PTM Pro 1200W. FSP is an OEM who usually builds PSU platforms for other companies, however the Hydro PTM Pro is a PSU that they design and produce themselves, making it interesting to see what the company has to offer directly to the retail market.
The PowerWalker VI 1500 CSW UPS Review: Trying For True Sinewave on a Budget
While Uninterruptible Power Supplies are hardly a new thing in the PC space, the tried-and-true battery backups for desktop PCs have been undergoing a resurgence in popularity in recent years. Improvements in power delivery technology such as GaNs have been reducing costs and improving reliability, and meanwhile lithium-ion batteries, with their much greater energy density/lower volume, are starting to make inroads on the UPS market as well. All the while, with laptops outselling desktops in the consumer PC market, a PC that doesn't shut itself down during a power outage is becoming the norm, rather than the exception. So what better time is there to take a look at UPSes?To kick off our nagual UPS review, we're starting with a 1500VA unit from BlueWalker. BlueWalker is a company that originates from Germany and specializes on the design and marketing of power-related equipment. The company was founded in 2004, making it one of the oldest household UPS/AVR manufacturers that still exist to this date.BlueWalker is marketing their retail products under the PowerWalker brand name and has a very wide portfolio of both hardware and software products available. For today’s review, we are taking a look at the PowerWalker VI 1500 CSW, a 1500VA/900W UPS that boasts true sinewave output. Of particular interest with this UPS is the price: true sinewave units have historically carried a significant price premium, but BlueWalker isn't charging nearly the premium as true sinewave UPSes from other major manufacturers, making the PowerWalker VI 1500 CSW a much cheaper UPS. But can it live up to the same power delivery expectations without the same wallet-busting cost? Let's find out.
Broadcom Launches Wi-Fi 7 Portfolio for Access Points and Client Devices
The last few years have seen heightened consumer focus on wireless networking. The industry has also been quite busy, enabling the operation of Wi-Fi 6 (802.11ax) in the 6GHz band with Wi-Fi 6E. In parallel, the 802.11 Working Group had started work on 802.11be with a focus on extremely high throughput (EHT). Wi-Fi 7 is set to become the consumer-facing moniker for 802.11be.802.11be aims to achieve high throughput primarily through a combination of three aspects:
Intel Opens D1X-Mod3 Fab Expansion; Moves Up Intel 18A Manufacturing to H2’2024
Intel for the last few years has been undergoing a major period of manufacturing expansion for the company. While the more recent announcements of new facilities in Ohio and Germany have understandably taken a lot of the spotlight – especially given their importance to Intel’s Foundry Services plans – Intel has been working even longer on expanding their existing facilities for their own use. The company’s development of next-generation EUV and Gate-All-Around-style transistors (RibbonFET) not only requires creating and refining the underlying technology, but it also just flat out requires more space. A lot of it.To that end, Intel today is holding a grand opening in Oregon for the Mod3 expansion of D1X, the company’s primary development fab. The expansion, first announced back in 2019, is the third such mod (module) and second expansion for Intel’s main dev fab to be built since D1X’s initial construction in 2010. And in keeping with tradition for Intel fab launches and expansions, the company is making something of an event of it, including bringing Oregon’s governor out to show off their $3 Billion investment.But fanfare aside, the latest mod for the fab is a genuinely important one for Intel: not only does it add a further 270,000 square feet of clean room space to the facility – expanding D1X by about 20% – but it’s the only fab module that’s big enough to support the High Numerical Aperture (High NA) EUV tool that Intel will be using starting with its 18A process. ASML’s forthcoming TWINSCAN EXE:5200 EUV tool is designed to be their most powerful yet, but it’s also quite a bit larger than the NXE 3000 series EUV tools Intel is using for their first generation EUV processes (Intel 4/3). It’s so big that D1X’s ceiling is too low to fit the machine, never mind support its weight.
DDR5 Demystified - Feat. Samsung DDR5-4800: A Look at Ranks, DPCs, and Do Manufacturers Matter?
The hottest advancement in memory technology for desktop computers in recent years is undoubtedly the release of DDR5 memory and Intel's 12th Gen Core series of processors. Not only does DDR5 memory yield higher memory bandwidth for many different use cases, but DDR5 also offers a generational increase in memory capacity, allowing for higher capacity UDIMMs over time.But, as always, the memory market is anything but homogenous. Even with just three actual DRAM manufacturers, DIMM vendors are offering DDR5 at a slew of clockspeeds, both official JEDEC speeds and X.M.P. profile memory that essentially comes overclocked out of the box. There are also notable differences in today's common DDR5 DIMM configurations, including single-sided UDIMMs (1Rx8), and dual-sided memory (2Rx8), as well as UDIMMs with different capacities.In today's piece, we're looking at DDR5-4800 memory from Samsung, including 2 x 32 GB, 2 x 16 GB, and 4 x 16 GB, to measure the performance differences between single and dual rank memory, as well as any differences between running DDR5 in one DIMM Per Channel (DPC) or two. Finally, as we have DDR5-4800 DIMMs with DRAM from Micron and SK Hynix, too, we'll also be looking at these in our results, to see if there are any performance differences among the three memory manufacturers.
The ADATA XPG Levante 360 AIO Cooler Review: Stuck in the Middle
A few weeks ago we had a look at ADATA's first attempt into the PC Power Supply market with the Cybercore PSU. In today's review we are checking out another of their diversification attempts, this time towards the CPU cooling market, in the form of the XPG Levante 360 all-in-one liquid cooler. Heavily based on an Asetek reference design, the XPG Levante 360 is a very well performing and well built cooler, but it struggles to stand out in a commodity market full of CPU coolers.
NVIDIA Releases GeForce RTX 3090 Ti: Ampere the All-Powerful
Back in January during their CES 2022 keynote, NVIDIA teased the GeForce RTX 3090 Ti, an even more powerful version of NVIDIA’s flagship card for the high-end gaming and content creation markets. At the time, NVIDIA told us to expect more information later in January, only for January (and February) to come and go without further mention of the card. But now, in the waning days of March, the GeForce RTX 3090 Ti’s day has come, as NVIDIA is launching their new flagship video card today.
The Intel Core i7-12700K and Core i5-12600K Review: High Performance For the Mid-Range
Since Intel announced and launched its 12th Gen Core series of CPUs in to the market, we've reviewed both the flagship Core i9-12900K, as well as the entry-level (but still very capable) Core i3-12300 processors. Today, we're looking at the middle of the stack, with the Core i7-12700K and Core i5-12600K both taking center stage.Ever since AMD launched its Zen 3 architecture and its Ryzen 5000 series for desktop, Intel has been playing catch up in both performance and pricing. Intel's hybrid Alder Lake design is its second attempt (Rocket Lake) to dethrone Ryzen 5000 as the go-to processor for consumers building a high-end desktop system for gaming, content creation, and everything in between. It's time to see if the Core i7-12700K and Core i5-12600K can finally level the playing field, if not outright give Intel an advantage in the always popular mid-range and enthusiast markets.
Update: Intel Announces Core i9-12900KS: 5.5 GHz Turbo, 5.2 GHz All-Core, Coming April 5th
Following Newegg’s flub on Friday, Intel today is now (finally) officially announcing the Core i9-12900KS. The company’s new flagship consumer desktop chip will be going on sale next Tuesday, April 5, with a recommended price of $739.The Core i9-12900KS, where the S stands for Special Edition, pushes the standard 12900K to new frequency highs. The processor is in an 8P+8E configuration, with the key data points being the 5.5 GHz Turbo frequency across two cores, and 5.2 GHz Turbo frequency across all cores – and like the other K parts, with sufficient cooling this chip has an unlimited turbo period. Given the extreme clockspeeds, this is going to be a ‘thin-bin’ part, which means that Intel is going to need to do extra binning to bring these processors to market in sufficient quantities with the characteristics determined by the bin.
...6789101112131415...