Feed anandtech

Link https://anandtech.com/
Feed https://anandtech.com/rss/
Updated 2024-05-14 07:30
Intel Confirms Tiger Lake-U Refresh Later in 2021
The combination of Intel’s ability to drive 10nm product onto the shelves coupled with silicon supply chain shortages has put into question exactly what might be coming into the market later this year on the client side of the business. A few weeks ago Intel stated that across the company five CPU platforms would be coming to market in 2021: Rocket Lake, Jasper Lake, Ice Lake Xeon, Alder Lake, and the Tiger Lake-H series of processors. Tiger Lake-H at 45W+ will come to market in Q2, however AnandTech has learned and confirmed that later in 2021 Intel will also be launching a refresh of its notebook 15-28W Tiger Lake-U processors as well.
Arm Announces Neoverse V1, N2 Platforms & CPUs, CMN-700 Mesh: More Performance, More Cores, More Flexibility
Today Arm is announcing the details on the new Neoverse V1 and N2 CPU microarchitectures, impressive at +50% and +40% IPC, as well as the new CMN-700 mesh network. 128 N2 cores on 5nm with DDR5 in 2022? Arm says so!
Microsoft and Intel Enable AI-Backed Protection Against CPU Cryptocoin Mining
The fervor of cryptocoin mining has consumed a large part of the semiconductor industry of late. The demands for high performance silicon to mine these virtual assets with value is one factor in a global shortage of available parts for computers, automobiles, defense, research, and other industries. One consistent element to cryptocoin mining over the last decade is the prevalence of hijacked machines and devices through malware, commonly known as botnets. Previously these armies of machines were co-opted to perform bandwidth attacks against various targets, but they have also been used for their compute resources – mining coins that have value for those that control the botnet. This week Intel and Microsoft are announcing an additional layer of protection against these sorts of attacks.
TSMC Update: 2nm in Development, 3nm and 4nm on Track for 2022
For TSMC, being the world's largest foundry with nearly 500 customers has its peculiarities. On the one hand, the company can serve almost any client with almost any requirements. On the other hand, it has to stay ahead of everyone else both in terms of capacity and in terms of technology. As far as capacity is concerned, TSMC is unchallenged and is not going to be for years to come. As for fabrication technologies, TSMC has recently reiterated that it's confident that its N2, N3, and N4 processes will be available on time and will be more advanced than competing nodes.ConfidenceEarly this year TSMC significantly boosted its 2021 CapEx budget to a $25 – $28 billion range, further increasing it to around $30 billion as a part of its three-year plan to spend $100 billion on manufacturing capacities and R&D.About 80% of TSMC's $30 billion capital budget this year will be spent on expanding capacities for advanced technologies, such as 3nm, 4nm/5nm, and 6nm/7nm. Analysts from China Renaissance Securities believe that most of the money on advanced nodes will be used to expand TSMC's N5 capacity to 110,000 ~ 120,000 wafer starts per month (WSPM) by the end of the year. Meanwhile, TSMC said that 10% of its CapEx will be allocated for advanced packaging and mask making, whereas another 10% will be spent on specialty technologies (which includes tailored versions of mature nodes).TMSC's the most recent CapEx hikes announcements were made after Intel announced its IDM 2.0 strategy (that involves in-house production, outsourcing, and foundry operations) and to a large degree reaffirms TMSC's confidence in both short-term and long-term future even ahead of intensified competition."As a leading pure-play foundry, TSMC has never been short on competition in our 30-plus-year history, yet we know how to compete," said C.C. Wei, president and CEO of TSMC, at a recent conference call with analysts and investors. "We will continue to focus on delivering technology leadership, manufacturing excellence, and earning our customers' trust. The last point, customers' trust, is fairly important because we do not have internal products that compete with customer."Advertised PPA Improvements of New Process Technologies
AnandTech Call for Writers: 2021
The Call for Writers is something of an annual tradition over here at AnandTech. As anyone who follows the site knows very well, the list of things we have to review/cover easily exceeds our available time. So the call for writers gives us a chance to find new talent and new opportunities to grow, be it into new coverage areas entirely or just covering more of the existing products our readers have come to enjoy over the years.The ultimate purpose of the Call for Writers is to find new talent. To continue to grow and improve our content, we need your help. We're looking for writers with a true passion for the technology we cover, a deep understanding of what's out there and a thirst for more knowledge.Like many other publications, the coronavirus pandemic over the past year has thrown a wrench in our coverage plans – both in terms of content and staffing. But now that we're finally starting to turn the corner on the pandemic, we're preparing to resume staffing up, expanding our coverage, and training the next generation of AnandTech editors.To that end, we're looking for contributors to help out both with reviews as well as our short-to-medium form Pipeline coverage. The areas in particular we're looking for help with are listed below:
The Kinesis TKO Tournament Gaming Keyboard Review: A Compact Champion
Today, we are taking a look at the Kinesis TKO Tournament Gaming keyboard, a 60% mechanical keyboard designed with gamers in mind. Looking to tap into the market for gamers who are after a small keyboard designed for portability and single-hand gaming ergonomics, the company has designed a 60% gaming keyboard that is also something gamers can easily take with them to use on other computers and at torunaments, all without requring any additional software.
MLPerf Inference v1.0: 2000 Suite Results, New Power Measurements
There has been a strong desire for a series of industry standard machine learning benchmarks, akin to the SPEC benchmarks for CPUs, in order to compare relative solutions. Over the past two years, MLCommons, an open engineering consortium, have been discussing and disclosing its MLPerf benchmarks for training and inference, with key consortium members releasing benchmark numbers as the series of tests gets refined. Today we see the full launch of MLPerf Inference v1.0, along with ~2000 results into the database. Alongside this launch, a new MLPerf Power Measurement technique to provide additional metadata on these test results is also being disclosed.
Seagate Unveils FireCuda-Branded External HDD Solutions
Seagate has been marketing their gaming-focused storage products under the FireCuda brand over the last few years. With a focus on performance, these products have typically been flash-based and/or cater to the high-bandwidth peripherals market using Thunderbolt. Today, the company is introducing a couple of new hard-drive-based products focusing on capacity and the aspect that gamers seem to love (based on market demand) - RGB lighting.The FireCuda Gaming Hard Drive is a 2.5" bus-powered external HDD complete with RGB lighting (customizable using Seagate's Toolkit software as well as Razer Chroma). It is available in capacities of 1TB, 2TB, and 5TB with MSRPs of $80, $110, and $180 respectively. Street prices are lower, as can be seen from product listings online.Similar to Seagate's current bus-powered external HDD lineup, the new FireCuda Gaming Hard Drive also sports a USB 3.2 Gen 1 (5 Gbps) Micro-B interface. While we haven't received official confirmation yet, it is likely that the new drives are also SMR-based like the Seagate Backup Plus line. One of the interesting value additions is the inclusion of Rescue Data Recovery services for three years in addition to the one year warranty.The FireCuda Gaming Hub will become available in the market a little later - This is a full-fledged 3.5" HDD in a RGB enclosure. It has to be externally powered, which also allows the product to carry front-facing USB-C and USB-A ports (both 3.2 Gen 1 - 5Gbps) and act as a hub. The Rescue DRS value-addition is applicable to this product also. The product will be available in two capacity points - 8TB for $220, and 16TB for $400. The latter SKU is interesting from the viewpoint of the internal drive - this will probably be the first product to carry Seagate's consumer-focused 16TB HDD, as they do not have a BarraCuda 16TB in the retail market currently.Gallery: Seagate Unveils FireCuda-Branded External HDD Solutions
Cerebras Unveils Wafer Scale Engine Two (WSE2): 2.6 Trillion Transistors, 100% Yield
The last few years has seen a glut of processors enter the market with the sole purpose of accelerating artificial intelligence and machine learning workloads. Due to the different types of machine learning algorithms possible, these processors are often focused on a few key areas, but one thing limits them all – how big you can make the processor. Two years ago Cerebras unveiled a revolution in silicon design: a processor as big as your head, using as much area on a 12-inch wafer as a rectangular design would allow, built on 16nm, focused on both AI as well as HPC workloads. Today the company is launching its second generation product, built on TSMC 7nm, with more than double the cores and more than double of everything.
AI Funding Spree: +$300m for Groq, +$676m for SambaNova
The growth of AI has seen a resurgence in venture capital funding for silicon start-ups. Designing AI silicon for machine learning, both for training and inference, has become hot property in Silicon Valley, especially as machine learning compute and memory requirements are coalesced into tangible targets for this silicon to go after. A number of these companies are already shipping high performance processors to customers, and are looking for further funding to help support customers, expand the customer base, and develop next generation products until profitability happens, or the company is acquired. The two latest funding rounds for AI silicon were announced in this past week.
Biostar Announces B550T-Silver Mini-ITX Motherboard For AMD's Ryzen 5000 Family
Biostar has unveiled its latest mini-ITX motherboard for the AMD B550 chipset, the B550T-Silver, which is designed for use with AMD's Ryzen 5000 and Ryzen 3000 processors. The small-sized board combines a simple silver and black aesthetic with decent features including PCIe 4.0 support, Wi-Fi 6, 2.5 GbE networking, and one PCIe 4.0 x4 M.2 slot.With both NVIDIA and AMD moving quickly away from multi-graphics card setups to single card powerhouses, the mini-ITX form factor has become as potent as as it's ever been for gaming systems. Biostar's latest board, in turn, ticks a lot of boxes for users looking for a reasonably priced mini-ITX motherboard that can harness the power of AMD's Zen 3 processors to create a potent and pocket-sized gaming system/media center with the AMD's cheaper Ryzen chips.From what we've seen, no X570 or B550 boards currently list support for the latest Ryzen 5000G APUs, which we expect to change once AMD rolls them out onto the wider market.The Biostar B550T-Silver combines a basic and elegant black and silver color scheme, with plenty of features to be benefited from. There's one full-length PCIe 4.0 x16 slot, with a single PCIe 4.0 x4 M.2 slot and four straight-angled SATA ports, including support for RAID 0, 1, and 10 arrays. On the right-hand side of the board are two memory slots, which can support 64 GB of RAM at speeds up to DDR4-4933. Biostar isn't openly advertising the power delivery system, but we can see it uses one 8-pin 12 V ATX input to provide power to the CPU.Biostar includes one USB 3.2 G2 Type-C, one USB 3.2 G2 Type-A, and four USB 3.2 G1 Type-A ports on the rear panel. There's an unspecified Wi-Fi 6 interface for wireless networking, and a Realtek RTL8125B 2.5 GbE controller. The board's integrated audio consists of three 3.5 mm audio jacks powered by a Realtek ALC897 HD audio codec. Users looking to build a mini-ITX media system can benefit from the integrated graphics on the Ryzen 4000 APUs through one HDMI 2.1 and a single DisplayPort video output pairing. Finishing off the rear panel is a PS/2 combo keyboard and mouse port.At the time of writing, we don't currently have a price for the Biostar B550T-Silver mini-ITX motherboard or when it is expected to hit retail. However, we do expect it to be reasonably priced compared directly to some of the other mini-ITX B550 models.Gallery: Biostar Announces B550T-Silver Mini-ITX Motherboard For Rocket LakeSource: BiostarRelated Reading
Sales of Fab Tools Surge to Over $71 Billion in 2020
SEMI, an organization representing chipmakers and producers of semiconductor production tools, published this week that sales of wafer processing equipment has surged to an all-time record of $71.19 billion for 2020. In the lead-up to the current chip crunch, equipment sales to South Korea and China noticeably spiked, with fabs in the former buying 61% more gear than in 2019, while China has risen to become the largest fab tool customer of all of the nations.Overall, sales of fab equipment surged 19% from $59.75 billion in 2019 to $71.19 billion in 2020, according to SEMI. The substantial increase was driven by several factors. First and foremost, the world now consumes more chips than ever, and that consumption will only grow over time. Secondly, the competition between TSMC and Samsung Semiconductor (which has Foundry and Memory divisions) is escalating and both companies are spending more money on semiconductor equipment. Thirdly, next-generation lithography equipment (both DUV and EUV) is getting more expensive, so are other tools used in clean rooms. And finally, China is intensifying its domestic semiconductor efforts amid the trade war with the U.S.Annual Billings by Region in $U.S. Billions with Year-Over-Year Change RatesRegion20202019ChangeChina18.7213.4539%Taiwan17.1517.120.2%South Korea16.089.9761%Japan7.586.2721%North America6.538.15-20%Europe2.642.2816%ROW2.482.52-1%Total71.1959.7519%Chinese companies increased their spending on wafer processing equipment by 39% year-over-year in 2020 to $18.72 billion, an all-time record for the country. Various companies, both domestic and foreign, are ramping up production of logic and memory chips in China, so the surge was something expected.Taiwanese manufacturers bought semiconductor tools worth $17.15 billion last year, which was flat with 2019. Now that UMC (which is the world's third largest contract maker of chips) is focused on specialty and mature processes, it no longer has to buy leading-edge equipment. By contrast, TSMC's purchases of new tools offset declines at UMC, but on the country level shipments of semi tools were flat year-over-year. Meanwhile, this is going to change in 2021 as TSMC plans to radically increase its spending on new fabs up to $28 billion in 2020 and intends to invest $100 billion in new plants and R&D over the next three years.South Korean companies increased their annual spending on semiconductor equipment to $16.08 billion last year, a whopping 61% year-over-year jump. Samsung Semiconductor, which has foundry services for logic, DRAM, and NAND flash memory, has been setting records with its CapEx budgets in the recent years. Its rival SK Hynix has also been increasing procurement of wafer processing equipment. As a result, in 2020 South Korean companies spent about the same amount of money on fab tools as Japan, North America, and Europe combined.And though Japan is no longer a microelectronics mecca, but Japanese companies still spent $7.58 billion on fab tools last year, up 21% from 2019. A significant share of that expenditure likely belongs to Kioxia and Western Digital that constantly buy new equipment for their 3D NAND operations, and there are a number of other companies in Japan that produce more specialized semiconductors.Meanwhile, tool purchases by American fabs actually dropped by 20% versus the previous year, sinking to $6.53 billion for 2020. The US is still the runaway leader for chip design, so the drop serves to widen the gap between how much is designed in the country versus how little is fabbed there. Overall it looks like the tables are going to turn in the coming years as Intel, Samsung Foundry, and TSMC begin to equip their new fabs in the USA; but for now, fab tool shipments are down significantly.Finally, European fabs increased their purchases of new tools by 16% last year, totaling $2.64 billion invested in new equipment. As Intel brings its 7 nm fabrication process to Ireland in the coming quarters, the company will increase its spending in Europe, so fab tool sales there should see at least a temporary spike in the future.In fact, tool sales are likely to spike everywhere for 2021 and beyond. While SEMI doesn't directly publish any outlooks for future sales, it's clear that the ongoing chip crunch has set the stage for a surge of additional equipment sales, as fabs are overwhelmed with orders despite already operating at full capacity. So, already fully booked for quarters to come, the need for new fab tools will only be increasing.Source: SEMI
Intel’s Full Enterprise Portfolio: An Interview with VP of Xeon, Lisa Spelman
With the launch of Intel’s Third Generation Xeon Scalable platform based on 10nm Ice Lake processors, Intel has upgraded a part of the company that makes the BIG money. For the last few years Intel has been pivoting from a CPU-centric company to a Data-centric company, leveraging the fact that more and more of its product lines are built towards the datacenter mindset. With the launch of the new server platform in this past week, Intel is gearing itself up for an enterprise stack built on 10nm, PCIe 4.0, and cryptographic acceleration.In this interview, we ask about Intel’s offerings, the scope of new accelerative features, what really matters to Intel’s customers, and how Intel is approaching its roadmap given the fast follow on from Ice Lake to Sapphire Rapids.
TSMC Q1 2021 Process Node Revenue: More 7nm, No More 20nm
This week TSMC has disclosed its full quarterly financial results for Q1 2021. In those results the company often explains where the revenue demand is for its technologies, and the financial split the demand brings. This number is not correlated to wafer production (although TSMC provides an overall number too), given that smaller process nodes have a per-wafer premium, but it does indicate where the demand is in the market right now. As perhaps to be expected, 7nm takes top billing, however a couple of interesting numbers come out of the data.
MSI Drops A Bling Bling Motherboard: the MEG Z590 Ace Gold Edition
On the back of Intel's 11th generation Rocket Lake processor release last month, MSI has dropped a new Z590 motherboard which certainly raises an eyebrow towards aesthetics. The new Z590 Ace Gold Edition is a gold-inspired take of the regular MEG Z590 Ace motherboard, with all of the same premium features such as PCIe 4.0 support, 2.5 GbE, Wi-Fi 6E, and dual Thunderbolt 4 Type-C on the rear panel.Built around its Enthusiast Gaming series, the MEG Z590 Ace Gold Edition injects a lot of flair and vibrance that the regular MEG Z590 Ace doesn't have. While aesthetics comes down to a matter of individual opinion, the MSI MEG Z590 Gold Ace Gold Edition is decked out in gold and brushed aluminum finishing, including the rear panel cover, power delivery heatsinks, PCIe slot armor, M.2 heatsinks, and the chipset heatsink.Despite the refreshed and extravagant aesthetic, it includes the exact same feature and controller set as the regular MSI MEG Z590 Ace, which includes two full-length PCIe 4.0 slots that can operate at x16 and x8/x8, a third full-length PCIe 3.0 x4 slot, and two PCIe 3.0 x1 slots. There are four memory slots that can accommodate up to DDR4-5600 memory, with a maximum capacity of 128 GB, and includes one PCIe 4.0 x4 M.2 slot, three PCIe 3.0 x4/SATA M.2 slots, and six SATA ports which is plenty of storage options. Providing power to the CPU is the same 16-phase power delivery with premium 90 A power stages as the regular Z590 Ace and dual 8-pin 12 V ATX CPU power inputs.The rear panel also comes with plenty of features and includes a pre-attached gold and aluminum-colored rear panel cover (Ian: I can barely read those labels! What if you're colorblind!?). For connectivity, the MSI MEG Z590 Ace Gold Edition has dual Thunderbolt 4 Type-C with two mini-DisplayPort video inputs, two USB 3.2 G2 Type-A, four USB 3.2 G1 Type-A, and two USB 2.0 ports. The board also uses a Realtek ALC4082 HD audio codec and ESS Sabre 9018Q2C DAC combination, which powers five 3.5 mm audio jacks and S/PDIF optical output, as well as a BIOS flashback and Clear CMOS button pairing. On the networking side of things, MSI is using an Intel I225-V 2.5 GbE controller and Intel's latest AX210 Wi-Fi 6E CNVi.At present, we don't know when the MSI MEG Z590 Ace Gold Edition is going to hit retail shelves, nor do we have any pricing. The regular MSI MEG Z590 Ace has an MSRP of $500, so we expect the gold variant to cost a little more.We also have the MSI MEG Z590 Ace (regular version) in for review, which we will publish in due time.Source: MSIGallery: MSI Unveils Gold Standard For Z590, MEG Z590 Ace Gold EditionRelated Reading
AMD Ryzen 5000G APUs: OEM Only For Now, Full Release Later This Year
With the high demand for semiconductors causing most companies to focus on their high margin, high profitability components, I wasn’t expecting to see many launches of low-to-mid range hardware for the rest of 2021. AMD has surprised me in announcing its entry and mid-level processors with integrated graphics today, offering up to eight Zen 3 cores and Vega 8 graphics, but AMD is pointing out that these models are for the pre-built system market only right now. AMD has plans to enable a full retail offering for these components, but this will happen later in the year.
Microsoft Springs A Surface Launch: Surface Laptop 4 Announced With Custom Ryzen
Microsoft tends to update their Surface lineup on an irregular schedule, not necessarily following the updated CPUs that are generally announced on a mostly annual cadence. Today Microsoft is announcing an updated Surface Laptop, dubbed the Surface Laptop 4, and brings the hardware up to date, somewhat at least. Also, with the explosion in video conferencing as a result of the Covid-19 global pandemic, the company is also announcing a slew of accessories to improve the Microsoft Teams experience.Surface Laptop 4: Intel Tiger Lake and Custom AMD ProcessorsMicrosoft’s update schedule often means their products linger in the market with specifications that are no longer current. Perhaps no product has felt that more than the Microsoft Surface Laptop 3, especially the AMD version, which launched in late 2019. Based on AMD’s Picasso platform at the time, the AMD Ryzen Surface Edition processor suffered from poor battery life – an issue which AMD resolved with the launch of their Ryzen 4000 series “Renoir” processors just a few months later.Today, Microsoft is rectifying this, but not going quite as far as you would expect for a device launching in April 2021. Once again, Microsoft will be launching both Intel and AMD powered versions of the Surface Laptop 4, and again, the AMD models will feature a custom Ryzen processor. However, despite AMD releasing their Ryzen 5000 series “Cezanne” lineup, the Surface Laptop 4 will feature the older Renoir platform. As disappointing as this is, Renoir was a very capable platform, with great performance, and great battery life. Perhaps the Surface Laptop 4 refresh was supposed to come in late 2020, but was delayed by Covid, but regardless, even with the Ryzen 4000 series powering it, the Surface Laptop 4 should be a big improvement over the Surface Laptop 3.Microsoft Surface Laptop 413.5-Inch15-InchProcessorIntel Core i5-1035G7
Xiaomi Mi 11 Lite 5G Performance Report: First Taste of the Snapdragon 780G
Announced a few weeks ago, we’re looking at the impressive performance and efficiency of the new Qualcomm Snapdragon 780G SoC, courtesy of Xiaomi’s new Mi 11 Lite 5G mid-range phone.
Sponsored Post: ASUS’s Z590 Buying Guide
ASUS’ Z590 motherboards are among the best that you can buy for 11th Gen Intel CPUs. Here’s an overview of what makes them special, as well as who each of the motherboards are best for.
Sponsored Post: StarWind Harness the Power of SSD for its All-Flash Hyperconverged Appliance (HCA)
StarWind has developed a 2-node hyperconverged infrastructure perfectly designed to fit the needs and budgets of ROBO, SMB, and Edge IT environments.
NVIDIA Unveils Grace: A High-Performance Arm Server CPU For Use In Big AI Systems
Kicking off another busy Spring GPU Technology Conference for NVIDIA, this morning the graphics and accelerator designer is announcing that they are going to once again design their own Arm-based CPU. Dubbed Grace – after Grace Hopper, the computer programming pioneer and US Navy rear admiral – the CPU is NVIDIA’s latest stab at more fully vertically integrating their hardware stack by being able to offer a high-performance CPU alongside their regular GPU wares. According to NVIDIA, the chip is being designed specifically for large-scale neural network workloads, and is expected to become available in NVIDIA products in 2023.
The NVIDIA GTC 2021 Keynote Live Blog (Starts at 8:30am PT/15:30 UTC)
Join us at 8:30am PT for our coverage of NVIDIA’s annual GPU Technology Conference keynote address. The traditional kick-off to the show – be it physical or virtual – NVIDIA’s annual spring keynote is showcase for NVIDIA’s vision for the next 12 to 24 months across all of their segments, from graphics to AI to automotive. Along with slew of product announcements, the presentation, delivered by CEO (and lovely kitchen owner) Jensen Huang always contains a few surprises.Due to the coronavirus, this year’s show is once again virtual. However, with a full year to prepare for it, NVIDIA is delivering a much more traditional event. This includes the keynote itself, which although pre-recorded, is being broadcast as one continuous presentation rather than uploaded and unlocked as multiple segments all at once. Big topics of conversation this year will include graphics, networking, automotive, and AI, especially as NVIDIA’s now a year into shipping its flagship A100 accelerator. And though A100 isn’t due for a replacement this year, we may get some insight into how NVIDIA intends to continue to fend off AMD and Intel, both of whom have server GPU and AI plans that are finally starting to come together.
Sabrent Rocket Q4 and Corsair MP600 CORE NVMe SSDs Reviewed: PCIe 4.0 with QLC
A PCIe Gen4 SSD controller can get more performance out of QLC NAND, but can a QLC SSD ever be more than a low-end drive?
AMD Issues Updated Speculative Spectre Security Status: Predictive Store Forwarding
The mention of Spectre and Meltdown is enough to send chills down any InfoSec spine. A number of these batches of security vulnerabilities deal with speculative execution, and how a processor might leak data while executing code in a speculative manner. This week AMD has pre-empted the security space by detailing a potential security concerns regarding its new Zen 3-based Predictive Store Forwarding feature designed to improve code performance by predicting dependencies between loads and stores. AMD is clear to point out that most users will not need to take any action, as the risk for general consumer use to any breach is low, and no known code is vulnerable.
Silicon Motion Announces SM2708 SD Express Controller
Silicon Motion has announced their first SD Card controller to support the NVMe-based SD Express interface. The new SM2708 controller is capable of sequential transfer speeds of 1700 MB/s, vastly higher than the 104 MB/s most SD devices and cards are limited to using the older but widely-supported UHS-I interface.In 2018, version 7.0 of the SD specification introduced the PCIe and NVMe-based SD Express interface as the new way forward for SD cards. The older UHS-II and UHS-III interfaces developed in versions 4 through 6 of the SD standard and capable of speeds from 156 MB/s to 624 MB/s were abandoned in favor of a single lane of PCIe gen3 (~985 MB/s). Last year, version 8.0 of the SD specification added support for PCIe gen4 speeds and a second PCIe lane, bringing the theoretical maximum transfer speed up to almost 4 GB/s.Silicon Motion's SM2708 is a two-lane controller, but still using PCIe gen3 speed, hence the top speed can't quite reach 2 GB/s. This has the potential to bring SD card performance up to near the levels of entry-level consumer NVMe SSDs for laptops and desktops—competitive with SSDs based on slightly-outdated controllers like the Phison E8T or Silicon Motion SM2263XT. The SM2708 controller uses two NAND channels instead of the four typically used by entry-level SSD controllers, but the SM2708 is capable of a 1200 MT/s IO speed that allows it to get good performance out of recent NAND flash generations without the power and size penalties of a four-channel solution.In 2019, Silicon Motion's primary competitor Phison announced their PS5017 SD Express controller. This is based on the earlier SD 7.0 specification and thus is a PCIe 3 x1 design and limited to about 870 MB/s. In February 2021 Phison announced they were about to start shipping cards based on this solution. Silicon Motion's SM2708 controller might not take that long to turn into actual products, but they clearly have missed out on the first round of SD Express competition—though they may be able to leapfrog Phison's solution.Underlying all the developments related to recent flash memory card standards has been the challenge of poor adoption. For years, storage tech has been advancing much faster than camera tech. Storage technology companies stand ready to supply more advanced memory cards, but they cannot succeed in the market unless there are host devices ready to use the higher performance. We've seen a decade of failed successors to the old SD and CF standards that now seem pitifully slow. SD's UHS-II and UHS-III, CF's CFast and XQD, and UFS cards have all been demonstrated as working technologies and all eventually made it to market to some extent, but with very limited success. The SD and CF worlds have converged on PCIe and NVMe as the way forward, adopting interfaces that already have a thriving ecosystem and long-term viability in other form factors. That makes it more likely that standards like SD Express will actually catch on, but it may still be several years before PCIe-based interfaces are supported on any phones or more than a handful of high-end cameras.
GIGABYTE Server: Three New E-ATX Motherboards For Intel Ice Lake-SP Xeons
Hot on the heels of Intel's latest Ice Lake-SP 3rd Generation Xeon Scalable processor launch, GIGABYTE Server has listed three new motherboards designed for server use. The GIGABYTE Server MU92-TU1, the MU92-TU0, and MU72-SU0 all offer different levels of features and controllers and are based on Intel's C621A chipset for 3rd Gen Xeon Scalable. Two are built on the E-ATX form factor, with one ATX model, with features varying between sixteen memory slots, different dual Ethernet configurations, and all come with an integrated ASPEED BMC controller.The Intel Xeon Scalable processor stack is designed for use in servers, with some likely professional applications alongside the usual Cloud and Datacenter operations. With some new refinements of its 10 nm process for Enterprise situations, including the use of SGX and a focus for customized crypto acceleration. There are many Intel Xeon Scalable Ice Lake-SP SKUs in the product stack, including the top tier 40-core Xeon Platinum 8380 model the way down to the entry-level Xeon Silver 4309 model.Read our Intel 3rd Gen Xeon Scalable Review below:
Intel 3rd Gen Xeon Scalable (Ice Lake SP) Review: Generationally Big, Competitively Small
The launch of Intel’s Ice Lake Xeon Scalable processors has been in the wings for a number of years. The delays to Intel’s 10nm manufacturing process have given a number of setbacks to all of Intel’s proposed 10nm product lines, especially the high performance Xeon family: trying to craft 660 mm2 of silicon on a process is difficult at the best of times. But Intel has 10nm in a place where it is economically viable to start retailing large Xeon processors, and the official launch today of Intel’s 3 Generation Xeon Scalable is on the back of over 200,000+ units shipped to major customers to date. The new flagship, the Xeon Platinum 8380, has 40 cores, offers PCIe 4.0, and takes advantage of the IPC gain in Intel’s Sunny Cove processor core. We’re testing it against the best in the market.
G.Skill Announces DDR4-5333 Memory Kits for Intel Rocket Lake
Designed with a focus on Intel's latest 11th generation Rocket Lake processors and the new memory controller ratios, G.Skill has announced a wave of new memory kits designed to squeeze as much performance out of the platform. The new memory kits for Z590 and Rocket Lake feature speeds of up to DDR4-5333. They will be made available across multiple lines of its range, including the premium Trident Z Royal, Trident Z RGB, and the more affordable Ripjaw V series.With memory performance and control getting some extra features with Intel's 11th gen desktop, the Z590 chipset with Rocket Lake processors now supports geared memory ratios between the memory controller and the DRAM data rates. The ability of Intel's memory controller in a 1:1 gear ratio can vary, certainly under ambient cooling methods, and not all of Intel's silicon can handle such high frequencies. This is where the gear ratio option becomes beneficial, taking some of the strain off the memory controller and allowing for high frequencies, with G.Skill capitalizing on this with its new memory kits up to DDR4-5333.
LG Calls it Quits, To Close Mobile Phone Business
This morning, LG issued a press release that announced the board of directors had decided to close down the conglomerate’s mobile phone business. The news is unfortunate, however isn’t too surprising given the mobile division had been accruing continuous operational losses over the last 6 years, greatly denting the company’s financials.
SK Hynix to Build $106 Billion Fab Cluster: 800,000 Wafer Starts a Month
Capping off a busy week for fab-related news, South Korea authorities this week gave SK Hynix a green light to build a new, 120 trillion won ($106.35 billion) fab complex. The fab cluster will be primarily used to build DRAM for PCs, mobile devices, and servers, using process technologies that rely on extreme ultraviolet lithography (EUV). The first fab in the complex will go online in 2025.The new cluster will house four huge semiconductor fabrication plants, which will be located on a 4.15 million square-meter site, reports The Korea Herald. The four fabs will have a planned capacity of around 800,000 wafer starts per month (WSPM), which will make the site one of the world's biggest semiconductor production hubs. Keeping in mind that we are dealing with EUV fabs, it is not surprising that a huge 200,000-WSPM plant with EUV tools will cost SK Hynix north of $25 billion. The fab cluster will be located near Yongin, South Korea, 50 kilometers south of Seoul, according to Yonhap news agency that cites the Ministry of Trade, Industry and Energy.The new fabs will be used to make various types of DRAM using SK Hynix's upcoming production technologies that will use extreme ultraviolet (EUV) lithography. And with a start date still years away, we're likely looking at a fab that will be used to manufacture DDR5, LPDDR5X, and other future types of DRAM.SK Hynix reportedly plans to start construction of the first fab in the Yongin cluster in the fourth quarter of 2021. Given the expected size of the massive building and the amount of time needed to folly load it with production equipment, SK Hynix expects this first fab to be completed in 2025.It is necessary to note that just several years ago SK Hynix and Samsung used to build fabs that could produce both DRAM and NAND flash memory – or at least be converted with a minimal amount of effort. This is not the case today as DRAM production now heavily relies on lithography equipment, whereas 3D NAND production uses loads of etching tools, which is why the fabs for different types of memory have to be equipped completely differently.The fab cluster in Yongin will be SK Hynix's second major DRAM site in South Korea after the company's primary DRAM hub near Icheon that houses its M10, M14, and M16 fabs. The M16 fab was completed in February and will be used for DRAM production using SK Hynix's EUV-based 1a process technology starting the second half of 2021.
TSMC to Spend $100B on Fabs and R&D Over Next Three Years: 2nm, Arizona Fab & More
TSMC this week has announced plans to spend $100 billion on new production facilities as well as R&D over the next three years. The world's largest contract maker of chips says that its fabs are currently working at full load, so to meet demand for its services going forward it will need (much) more capacity. Among TSMC's facilities to go online in the next three to four years are the company's fab in Arizona as well as its first 2nm-capable fab in Taiwan."TSMC is entering a period of higher growth as the multiyear megatrends of 5G and HPC are expected to fuel strong demand for our semiconductor technologies in the next several years," a statement by TSMC with the Taiwan Stock Exchange reads. "In addition, the COVID-19 pandemic also accelerates digitalization in every aspect. In order to keep up with demand, TSMC expects to invest $100 billion over the next three years to increase capacity to support the manufacturing and R&D of advanced semiconductor technologies. TSMC is working closely with our customers to address their needs in a sustainable manner."$100 Billion to Be Spent on FabsTSMC's capital expenditures (CapEx) budget last year was $17.2 billion, whereas its R&D budget was $3.72 billion, or approximately 8.2% of its revenue. This year the company intends to increase its CapEx to somewhere in the range of $25 to $28 billion, which would make for a 45% to 62% year-over-year increase in that spending. The company's R&D spending will also rise as its revenue is expected to grow. In total, TSMC plans to invest around $30 billion or more on CapEx and R&D this year. Taken altogether, if the company intends to spend around $100 billion from 2021 through 2023, its expenditures in the next two years will be roughly flat with 2021, something that should please its investors.TSMC has a number of important fab projects ahead of it.
ASRock Z590 Taichi Review: An Intel Motherboard with Moving Parts (and Thunderbolt 4)
Intel has now launched its latest 11th Generation Core processors for desktop. The highest performing of these is the Core i9-11900K, with 8 cores and 16 threads, and it does have some raw core advancements with an updated microarchitecture. These processors need appropriate motherboards, and although we've seen Intel's Z590 and B560 models already filter into retail channels over the last couple of months, the time is now to start getting to grips with them. The first up for review is the ASRock Z590 Taichi, which currently sits as the brand's flagship model. Some of the Z590 Taichi's main features include dual Thunderbolt 4 Type-C, dual RJ45 including one 2.5 gigabit Ethernet, Intel's latest Wi-Fi 6E CNVi, and comes equipped with a large 14-phase power delivery.
The ASRock Z590 OC Formula Motherboard: By and For Overclockers
ASRock and its in-house extreme overclocker Nich Shih have created the latest in its series of high-end enthusiast-grade motherboards. The OC Formula brand returns, famed for its good extreme overclocking performance, with the ASRock Z590 OC Formula. As a high-end clocking board, it is equipped with two DDR4 memory slots as close to the socket as is feasibly possible with the cooler recommendations for improved memory performance. This means support for DDR4-5600 out of the box, but it can go higher - the Z590 OC Formula also comes with a 12-layer PCB for improved signal integrity. It is equipped with a large 16-phase power delivery with premium 90 A smart power stages. It also comes with an OLED display, a hardware-based overclockers toolkit, and benefits from Intel's latest Wi-Fi 6E CNVi, and 2.5 Gb Ethernet, making it a solid option for all levels of enthusiast.Although the extreme overclocking circle has been getting smaller over the last decade, it is still much alive and resembles an art form. Using more aggressive sub-ambient cooling methods such as dry ice, liquid nitrogen, and in some cases, liquid helium, extreme overclockers have been pushing both Intel and AMD's silicon to its limits for many years. It's been quite a while since ASRock readied up a model capable of competition in the XOC arena. Still, legendary overclocker Nick Shih has helped its engineers design its latest overclocking focused motherboard, the ASRock Z590 OC Formula.The ASRock Z590 OC Formula drops its recognizable yellow color scheme for an odd green/neon green and black design. Located in the board's center is a new OLED display that can display multiple operating specifications such as voltages, and frequencies, which for overclockers looking for real-time monitoring will find useful.The top-right hand corner is a hardware-based overclockers toolkit that includes DIP switches for enthusiasts looking to disable or enable the board's three full-length PCIe slots. This also includes a slow mode switch, an OC retry button, an LN2 mode switch, a power switch, a reset switch, and on-the-fly frequency adjustment buttons. There are also three numbered buttons that allow direct access to three of Nick Shih's personal overclocking profiles. For extreme tweakers, there are five V-probe voltage monitoring points.
EUV Pellicles Ready For Fabs, Expected to Boost Chip Yields and Sizes
Foundries started limited usage of extreme ultraviolet (EUV) lithography for high-volume manufacturing (HVM) of chips in 2019. At the time, ASML's Twinscan NXE scanners were good enough for production, but the full EUV ecosystem was not quite there. One of the things that impacted EUV was the lack of protective pellicles for photomasks, which limited usage of EUV tools and affected yields. Fortunately, the situation with pellicles has finally improved thanks to the recent introduction of production-ready EUV pellicles, and matters promise to get even better in the coming years.Protecting Precious ReticlesASML has made a great progress with its Twinscan NXE EUV lithography tools in the recent years, improving performance of light source, availability time, and productivity. Its industry peers have also done a lot to make high-volume manufacturing (HVM) using EUV equipment possible. Still, the EUV ecosystem needs to develop further. One of the most notorious challenges the semiconductor supply chain faced with EUV is development of pellicles that were not available two years ago, which is why TSMC and Samsung Foundry had to invent ways how to use their EUV scanners without protective films.
Computex 2021 Physical Show Cancelled; Virtual Event Still Taking Place
When it comes to technology tradeshows, one of the biggest early victims of the coronavirus outbreak was Computex, the annual PC-centric trade show in Taiwan. After the 2020 show was delayed and then canceled entirely, event organizer TAITRA vowed to come back with a proper tradeshow in 2021. However, even with vaccinations well underway, it’s become clear that it’s not going to be possible to hold the physical show this year either. As a result, the physical Computex tradeshow has once again been cancelled.In a very brief news release, TAITRA noted that with the pandemic still ongoing, and border controls preventing international travel, it would not be possible to hold the show in 2021.
Kingston Introduces NV1 Entry-Level NVMe SSD
As previewed at CES, Kingston's newest entry-level consumer NVMe SSD is now shipping. The new NV1 uses a similar strategy to Kingston's entry-level SATA drives like the A400, where Kingston is not guaranteeing a specific set of internal components and expects to mix controllers and NAND to hit the cheapest price points. That results in very conservative performance and endurance specifications: sequential transfers around 2GB/s and endurance ratings around 0.2 DWPD for three years.Kingston NV1 SSD SpecificationsCapacity500 GB1 TB2 TBForm FactorM.2 2280 PCIe 3.0 x4Sequential Read (MB/s)2100Sequential Write (MB/s)1700Warranty3 yearsWrite Endurance120 TB
Mushkin Launches DELTA And GAMMA PCIe 4.0 NVMe SSDs
Mushkin has announced two new product lines for PCIe 4.0 M.2 SSDs. Following on from last year's introduction of the ALPHA series, the new DELTA and GAMMA drives continue Mushkin's recent trend of using Phison's SSD controllers and reference designs. The ALPHA uses the Phison E12S controller and QLC NAND, the new DELTA uses the Phison E16 controller and QLC NAND, and the new GAMMA uses the Phison E18 controller and TLC NAND.The new Mushkin DELTA series and their existing ALPHA series both use QLC NAND, but the DELTA is not a complete replacement for the ALPHA. The DELTA does offer a performance boost due to the faster controller supporting PCIe 4.0, but that faster Phison E16 controller also takes up more PCB area than the compact Phison E12S controller used in the ALPHA. That prevents the DELTA series from offering an 8TB option. The ALPHA series is focused specifically on extreme capacities since it only includes 4TB and 8TB models, while the DELTA is a bit more mainstream with 1TB through 4TB capacities.Mushkin DELTA SpecificationsCapacity1 TB2 TB4 TBForm FactorM.2 2280 PCIe 4.0 x4ControllerPhison E16NAND Flash3D QLCSequential Read (MB/s)47004975Sequential Write (MB/s)210037503975Random Read IOPS (4kB)195k380k700kRandom Write IOPS (4kB)510k650kWarranty5 yearsWrite Endurance200 TB
Arm Announces Armv9 Architecture: SVE2, Security, and the Next Decade
Today, as part of Arm’s Vision Day event, the company is announcing the first details of the company’s new Armv9 architecture, setting the foundation for what Arm hopes to be the computing platform for the next 300 billion chips in the next decade.
Intel Rocket Lake (14nm) Review: Core i9-11900K, Core i7-11700K, and Core i5-11600K
Today is the official launch of Intel’s 11 Generation Core processor family, given the internal name ‘Rocket Lake’. Rocket Lake showcases new performance gains for Intel in the desktop space, with a raw clock-for-clock performance uplift in a number of key workloads. In order to do this, Intel have had to retrofit its 10nm CPU and GPU designs back to 14nm, because only 14nm can achieve the frequency required. In exchange, the new processors to get this performance run hot, cost more for Intel to produce, have two fewer cores at the high end, but customers also get PCIe 4.0 on Intel’s mainstream desktop platform for the first time. In our review today, we will be going over Intel’s new hardware, why it exists, and how it performs, focusing specifically on Intel’s new flagship, the Core i9-11900K, which has eight cores and can boost up to 5.3 GHz.
Xiaomi Announces Mi 11 Ultra: The Largest Smartphone Camera
Today, within a flurry of device announcements, Xiaomi announced the new Mi 11 Ultra as a higher-end follow-up to the Mi 11, representing the company’s newest top-of-the-line smartphone with new unprecedented camera capabilities.
The Intel B560 Motherboard Overview: 30+ Budget Models Starting from $75
Intel is set to launch its latest generation of processors, known as Rocket Lake 11th Gen, on the 30th March 2021. We know Rocket Lake brings with it PCIe 4.0 directly from the CPU itself and is expected to bring better IPC performance over the last generation Comet Lake architecture. We've already takena look at 50+ models on Intel's flagship Z590 chipset, which Rocket Lake works best with, but with the high prices, users who don't plan on overclocking the CPU have the option of the more value oriented B560 chipset. As an upgrade to the older B460 models B560 brings some new features to the table including support for Wi-Fi 6, up to four USB 3.2 G2 ports, and it also supports memory overclocking as well.
Qualcomm Announces Snapdragon 780G: New 5nm 765 Successor
Today Qualcomm is announcing the new Snapdragon 780G, direct successor to the 765G: 1+3+4 CPUs with Cortex-A78’s up to 2.4GHz, +50% GPU, 2x AI performance, Triple-ISPs on a 5nm process node:
Intel’s x86 Designs No Longer Limited to Intel on Intel: IP Blocks for Foundry, Cores on TSMC
Today Intel’s CEO Pat Gelsinger has outlined two key changes to Intel policy: one derived from Intel’s plans to offer foundry services to external partners, and the other from Intel starting to outsource its core compute product families in order to get the best product at a given time. Not only is Intel set to offer x86 core IP to customers through its new Intel Foundry Services, but also Intel is looking to creating leadership compute products on external nodes. These are complete 180º turns from how Intel has previously operated.For the last 20-25 years, Intel has been steadfast in keeping the crown jewels of its product design firmly inside its very protective walls. Over the years, Intel’s x86 designs have mostly led the market in leadership performance and power (except for Pentium 4 and Rocket Lake), and limiting use/production for Intel-only use has enabled the company to improve that design with laser focus, manufacturing not-withstanding. Keeping the cores for internal use only means that neither customers nor competitors were able to see the raw design specifications, and for a long time this has enabled Intel to keep key features, such as its branch predictors, away from all but the most prying eyes.In a twist to the norm, Intel is now set to dissolve those walls keeping its x86 cores it itself.First up is Intel’s Foundry Services, a second crack at offering external customers the ability to use Intel’s manufacturing facilities. Idle fabs are costly, and so with IFS, Intel wants to enable a revenue stream while at the time meeting global demand for semiconductors, especially as it pertains to local supply chain security and migrating the world’s semiconductor reliance away from Asia more into the USA and EU. IFS will stand as a separate business unit inside Intel.As part of IFS, Intel will both offer raw manufacturing services, similar to a standard foundry like TSMC and Samsung, as well as its portfolio of IP to customers. This is a Big Deal™. Intel will enable a fully vertical model with its IP portfolio, allowing customers to choose from x86 cores, graphics, media, display, AI, interconnect, fabric, packaging, and other critical foundational IP from other sources (such as Arm, RISC-V). The exact way in which customers will be able to license the IP will be announced in due course, but if Intel were to follow the Arm model, then Intel customers will get access to Intel’s 86 core designs.Arm’s model is bidirectional: core IP and architecture IP. The first allows you to build an SoC with defined cores, while the latter allows you to build your own cores with the instruction set (like Apple does with Arm). When applied to Intel, with the core IP, a customer can build designs based on Intel’s x86 cores with their own or external interconnects, or in different configurations to Intel’s standard model that are more optimized for what that particular customer requires. At the minute Intel is set only to offer core IP licenses, not architecture IP licenses.If we take this idea and extrapolate, we could very well see x86 cores combined with new memory controllers, active interposers with custom interconnects.Intel has kind of done this before, although it was very much a walled garden. Intel offered foundry services almost 7 years ago, under then CEO Brian Krzanich, that allowed very select customers to build new SoC designs, with Intel's help, and only for very select pre-approved use cases. In that time, Intel's effort for a proper foundry business was, in Gelsinger's own words, 'weak'. The new model is set to be more open, as far as we're led to believe.The only question becomes to what extent will Intel offer x86 cores. Will it be the latest cores designed internally, or would they be a couple of generations behind? Will those designs be offered on a variety of process nodes, or just on a singular process node? Would a customer be able to get a core IP license and build it at another fab? This is where the second part of the announcement comes in.As part of today’s announcement, Intel has stated that it will be expanding its use of third-party foundry capacity. Pat Gelsinger highlighted that it would be leveraging its relationships with TSMC, GlobalFoundries, Samsung, and UMC, to enable the best manufacturing facilities for its leading edge product designs, from communications and connectivity to graphics and chiplets. This builds on the announcements made by former CEO Bob Swan last year in light of Intel's own troubles on its 7nm process. Today's announcements reaffirms Swan's messaging, given that at the time the word 'pragmatic' was used, so while this has probably been in the works in a while, it is good to get a clear confirmation. As part of this announcement, to quote:
Intel to Revive ‘Tick-Tock’ Model, Unquestioned CPU Leadership Performance in 2024/2025
As part of today’s announcements, during Intel’s Q&A session after the prepared remarks, CEO Pat Gelsinger explained how Intel is going to revive its fortunes when it comes to its leading edge compute products. One of Gelsinger’s mantras seems to be that unquestioned leadership products bring unquestioned leadership margins for those products, and for Intel to execute, it needs to return to its days of old.In the past, through the 1990s, 2000s, and into the 2010s, Intel’s manufacturing philosophy was known as ‘Tick-Tock’. This means that for every product generation, the leading edge compute hardware was either a Tick (process node enhancement), or a Tock (microarchitecture enhancement). Each generation would alternate between the two, allowing Intel to take advantage of a familiar design on a new process node, or using a mature node to enable a new performance-focused design. That policy was scuppered when delays to Intel’s 10nm forced Intel into more of a Tick-Tock-Optimization-Optimization-Optimization model.Today CEO Pat Gelsinger stated that at Intel’s core it has to re-establish the Tick-Tock model that enabled repeated leadership in the CPU ecosystem, buoyed by a healthy CPU roadmap. Part of this is re-establishing discipline in Intel’s ranks to continually provide both microarchitecture updates and process node updates on a regular expected cadence. Pat stated as part of the call that Intel will look towards a confirmed yearly process node improvement, and as a result, there might be a lot of Ticks in the future, with a push to more Tocks as well.On top of this commentary, Pat Gelsinger also stated that Intel’s CPU roadmaps are already baked in through 2021, 2022, and 2023. The company is thus looking to 2024/2025 for ‘unquestioned CPU leadership performance’, which traditionally means the fastest processor for single thread and multi-thread workloads. This is for sure a laudable goal, however Intel will also have to adapt to a changing landscape of chiplet processor designs (coming in 2023), enhancing on-die accelerators (GNA already present), and also what it means to have leadership performance – in the modern era, leadership performance doesn’t mean much if you’re also pushing lots of Watts. Intel stated that its 7nm process is now comfortably on track to deliver Meteor Lake, a client CPU using tiles/chiplets, in 2023, however we are likely looking to a 7nm variant or even external processes for a 2024/2025 product. Intel has also stated that it is looking to consider the core of its leading edge compute on external foundry processes, although one might argue that this doesn’t explicitly say ‘CPU’.It is also worth noting that Intel/Gelsinger isn’t calling its disaggregated silicon as ‘chiplets’, and prefers to use the term ‘tiles’. This is because Intel’s tiles amount to long wires across 3D packaging technologies like EMIB and Foveros, compared to package-based multi-die interconnect that require buffers as well as control fabric. Tiles by this definition are more costly to implement than chiplets, and have additional thermal considerations by having high-powered silicon close together, so it will be interesting to see how Intel balances these new packaging technologies with the more cost-sensitive elements of its portfolio, such as client processors.It’s been known that Intel’s microarchitecture teams haven’t been idle waiting for 10nm to come through the pipe, with a number of designs ready and waiting to go for when the process node technology matures. With any luck, if Intel can get a headwind with 7nm, when 2024 rolls around it might all come thick and fast.
Intel’s New IDM 2.0 Strategy: $20b for Two Fabs, Meteor Lake 7nm Tiles, New Foundry Services, IBM Collaboration, Return of IDF
The new CEO of Intel, Pat Gelsinger, has today outlined his vision for Intel over the coming years. During an online presentation entitled ‘Intel Unleashed: Engineering The Future’, Pat Gelsinger outlined five key topics upon which Intel will work towards and what it means for the company at large. At the center of this is a reaffirmed commitment for Intel to retain its own fabs, but also double down on its ability to drive the latest technologies at scale by building new manufacturing facilities inside the US.
OnePlus Announces OnePlus 9R, OnePlus 9 & OnePlus 9 Pro
OnePlus is launching three devices today, the regular new OnePlus 9, the OnePlus 9 Pro, and a more budget oriented refresh in the form of the OnePlus 9R.
SMIC to Build a New 28nm Fab in Shenzhen: Production to Start in 2022
As further evidence that the ongoing chip crunch is hitting every level of the chip manufacturing chain, Chinese chipmaker SMIC has announced plans to build a new 28nm manufacturing plant in Shenzhen, with expected start of production in 2022.
Intel’s DPG Launch Event April 6th: Early Look at 3rd Gen Xeon Scalable (Ice Lake)
Today Intel has announced that it will be holding a launch event on April 6 for the new vision of its Data Platform Group. This event is set to ‘unveil the next chapter’ in all the areas that Intel’s DPG touches, from edge to cloud, as well as offering an early look at 3 Gen Intel Xeon Scalable systems, which we’ve come to understand is the Ice Lake Xeon platform. Key speakers at the event include Intel’s new CEO, Pat Gelsinger.Update 3/22:Intel has formally clarified today that this event on April 6th will be the formal official launch of 3rd Generation Xeon Scalable (Ice Lake). Official start time for the event is 8am PT on April 6th.How Wonderful Gets Done 2021Subtly dropped in my email today as part of the Intel weekly on data center progress, the company have announced a ‘How Wonderful Gets Done 2021’ event built upon the Data Platform Group’s progress as well as the vision for the segment of Intel looking to the future. The key headliner, present in our email but not on Intel’s website, is that the event will host an ‘early look at 3 Gen Intel Xeon Scalable processor-based systems’. It is unclear if this means CPUs or just OEM designs, or if we’ll see benchmarks, but it is clear that Intel’s OEM partners are practically ready to go based on some of the published data already available.
AI Meets Chipmaking: Applied Materials Incorporates AI In Wafer Inspection Process
Advanced system-on-chip designs are extremely complex in terms of transistor count and are hard to build using the latest fabrication processes. In a bid to make production of next-generation chips economically feasible, chip fabs need to ensure high yields early in their lifecycle by quickly finding and correcting defects.But finding and fixing defects is not easy today, as traditional optical inspection tools don't offer sufficiently detailed image resolution, while high-resolution e-beam and multibeam inspection tools are relatively slow. Looking to bridge the gap on inspection costs and time, Applied Materials has been developing a technology called ExtractAI technology, which uses a combination of the company's latest Enlight optical inspection tool, SEMVision G7 e-beam review system, and deep learning (AI) to qucikly find flaws. And surprisingly, this solution has been in use for about a year now.
Intel’s New Adaptive Boost Technology: Floating Turbo Comes to Rocket Lake
A couple of days after Intel officially announced its 11 Generation Core Rocket Lake, the press received an email about a new feature coming to the platform that wasn’t in our original briefing. The goal of this feature is to provide more performance to users that have good processors, and Intel is calling it Adaptive Boost Technology.
...15161718192021222324...