Feed toms-hardware Tomshardware

Tomshardware

Link https://www.tomshardware.com/
Feed https://www.tomshardware.com/feeds/all
Updated 2024-05-06 00:45
Intel Russia reports zero revenue in 2023 — now only one employee remains
In 2023, Intel's operations in Russia were drastically pared back, leaving just one employee as the director of both Intel AO and Intel Technologies.
Museum criticizes Microsoft for 'mutilated' MS-DOS 4 open source release — posting on 'stupid' git blamed for the buggy blunder
A museum contends that MS-DOS 4 suffers from "git mutilation" due to being improperly open-sourced.
Museum criticizes Microsoft for 'mutilated' MS-DOS 4 open source release — posting on 'stupid' git blamed for the buggy blunder
MS-DOS 4 suffers "git mutilation" due to being improperly open-sourced.
Intel issues statement about CPU crashes, blames motherboard makers — BIOSes disable thermal and power protection, causing issues
Intel issues a state regarding stability issues concerning 13th and 14th Generation CPUs on 600-and 700-series motherboards made by respective manufacturers.
ASML claims US ban on servicing China chipmaking tools will not hurt the company
The Dutch government may ban ASML from servicing high-end wafer fab tools in China, but the outgoing ASML CEO says this will not be a major problem for earnings.
This 32-inch 4K curved Dell monitor is only $249 at Amazon
The Dell S3221QS gaming monitor is currently marked down to its lowest price at Amazon, just $249 instead of its usual $359.
Manor Lords is here and we benchmarked it — how much GPU horsepower do you need to play the indie hit?
Manor Lords was the most wishlisted game on Steam prior to its launch today. We've tested the initial early release version on a collection of 25 graphics cards to see just how much GPU horsepower you'll need to run the game well.
Homegrown Chinese CPUs are catching up to AMD and Intel — Loongson 3B6600 and 3B7000 allegedly match Intel 10th Gen CPU performance
Loongson revealed two domestically made CPUs for China's mainstream market due to independent research and development, having its IPC matching with Zen 3 and Intel Comet Lake CPUs.
AMD's China-exclusive Ryzen CPUs come to the retail market — Ryzen 7 8700F listed for $420 and Ryzen 5 7500F for $296
Listings of Ryzen 8000F Series CPUs with their iGPUs and NPUs disabled appear on Funtech and PC-Canada, with some pricing, ahead of a confirmed global release.
Huawei's next-gen CPU could rival Apple's current best — Kirin CPU with Taishan V130 cores rumored to match Apple M3 performance
According to a leak, Huawei's upcoming Kirin processor for PCs will feature next-generation Taishan V130 cores and next-generation graphics.
Intel needs $2 Billion for New Ireland fab — courting three venture capital firms for continued expansion
Intel is reportedly in talks with Apollo, KKR, and Stonepeak regarding funding for a new plant in Ireland.
AMD's server CPUs arrive on mainstream PC motherboards — EPYC 4004 CPUs with 3D V-Cache for AM5 platform already on sale at eBay
AMD's Zen 4-based entry-level EPYC 4004-series processors for the AM5 socket have surfaced on eBay.
Best SSDs 2024: From Budget SATA to Blazing-Fast NVMe
We recommend the best SSDs for every need and budget based on our extensive lab tests.
Flaming bots and NERF shooters: Pi Wars brings together the best Raspberry Pi robotics teams in the world
After a short break, Pi Wars 2024 sees the return of the premier in-person robotics event and with 58 teams competing for the crown, competition was fierce, but competitors were friendly.
Huawei launches another 7nm processor built by sanctioned Chinese fab SMIC — Kirin 9010 builds on previous design
Despite efforts of U.S. government, HiSilicon continues to develop high-end SoCs and SMIC continues to make them.
TSMC to build massive chips twice the size of today's largest — chips will use thousands of watts of power
TSMC preps 8x reticle size or larger interposers for gargantuan AI and HPC SiPs.
Top semiconductor company leaves China due to U.S. sanctions, cut-throat competition
King Yuan sells off its China subsidiary due to geopolitics, U.S. sanctions against China, rising competition, and ability to earn more in Taiwan.
China developed its very own Neuralink — Neucyber brain interface comes from a neurotechnology firm
A Chinese company backed by the state, Beijing Xinzhida Neurotechnology, introduces its Neucyber brain interface tested with a monkey and a mechanical arm.
Intel's revenues are up year-over-year, but foundry unit loses $2.5 billion
Almost all of Intel's business units were profitable in Q1, except manufacturing unit that made record $2.5 billion loss.
BenQ set to release 24.1-inch 540 Hz Full HD gaming monitor in May
BenQ Zowie XL2586X is announced and given specifications ahead of a May release.
Two Chinese nationals charged in US with trying to export banned semiconductor machinery to China — one arrested in Chicago
Two Chinese nationals were charged of being part of a scheme to illegally smuggle semiconductor processing machinery out of the US to China, breaking export law.
RTX 4090 failures from ripped pads made worse by poor packaging
Too many flagship graphics cards are suffering unnecessary irreparable damage, reckons NorthWestRepair. The graphics card repair specialist has published a new video where he bemoans a rash of Nvidia GeForce RTX 4090 failures due to poor shipping and improper mounting practices.
MSI RX 7000-series graphics cards mysteriously disappear — AMD commitment questioned as supply dissolves worldwide
MSI is apparently withdrawing from the AMD GPU market as a whole. All MSI RX 7000 series supply has disappeared worldwide, save for one or two RX 7900 XTX listings.
Over 1,000 games using generative AI content are already available on Steam — But are any of them worth playing?
AI models are now an established aspect of modern game development.
Corsair's K70 Pro Mini wireless gaming keyboard gets a massive 65% discount — now just $63
The Corsair's K70 Pro Mini wireless 60% gaming keyboard not only has a cut-down size but also a cut-down price thanks to a 65% discount at Best Buy.
Analysts expect 15% price hike for AI PCs — 60% of PCs will have local AI capabilities by 2027
With shipments of AI-capable PCs taking shape, Canalys estimates that AI-enabled PCs will command a 60% share by 2027, with industry-leading players from hardware and software integrating it on a rapid phase.
The Best PS5 SSDs in 2024: Speedy NVMe storage for your console
We recommend the best PS5 SSDs for the Sony PlayStation 5 for every need and budget based on our extensive lab tests.
Gigabyte, MSI are tackling Core i9 crashing issues with BIOS updates and user guides
Gigabyte and MSI have officially addressed stability concerns with Raptor Lake i9 processors. Gigabyte has provided new beta BIOS updates addressing the issue while MSI has provided a new tutorial on how to fix stability problems.
Asus ROG Maximus Z790 Apex Encore Review: Premium Overclocking Option
With a price of around $650, the Apex Encore is a premium motherboard focused on overclocking and pushing your hardware to its limits. It also looks good, performed well in our tests, and is a solid, albeit expensive, daily driver even if you don't overclock.
Huawei backs HBM memory manufacturing in China to sidestep crippling US sanctions that restrict AI development
Huawei is reportedly behind CXMT's development of HBM memory.
Microsoft releases MS-DOS 4 source code on GitHub — 45 year old code now open-source
Microsoft has released the MS-DOS 4.00 source code, binaries, disk images, and documentation. The source code, which is nearly 45 years old, has been released under the MIT license, allowing tinkerers free rein.
'Idiot-proof' thermal paste applicator to make TIM application easier than ever — X-Apply stencils coming soon
Igor's Lab prototypes and develops an easy thermal paste applicator for various use cases. The applicator applies paste in a fixed grid on the entire CPU for optimal performance and no concerns about misapplying paste.
TSMC to go 3D with wafer-sized processors — CoW-SoW technology allows 3D stacking for the world's largest chips
TSMC plans to integrate HBM4 with system-on-wafer designs in 2027.
Best Flash Drives 2024: Fast, Roomy, Pocketable USB Storage
Today's best flash drives are faster and speedier than ever. We've tested dozens to find the best.
Nintendo forces Garry's Mod to delete 20 years of content — Garry confirms Nintendo is behind Steam Workshop purge
Nintendo has issued takedowns on 20 years of Nintendo-based user content in Garry's Mod, confirms Garry himself.
Asus ROG Ally gets official AMD Fluid Motion Frames support for universal Frame Gen without custom drivers
Asus ROG Ally enables Frame Generation on handhelds.
Russian media claims server and storage supply has returned to pre-sanctions levels despite ongoing restrictions
Russia's server and storage supply was hampered by U.S.-imposed sanctions, but the state seems to have returned to normalcy nevertheless.
Windows 11 will reportedly display a watermark if your PC does not support AI requirements
In an attempt to make its users aware, Microsoft will be overlaying a watermark on PCs with Windows 11 24H2 which will not have compatible CPUs using SSE 4.2 instructions as its native apps use it for AI.
Asus creates motherboard specifically for overclocking Chinese CPUs — boosts homegrown KX-7000 clocks by 25%
China's latest KX-7000 was tested against the Core i5-7500, Core i7-7700K and Ryzen 7 1700X and found to have performance very similar to the i5-7500.
Sabrent Rocket 4 2TB SSD review: A welcome update
Sabrent's new Rocket 4 SSD is a DRAM-less PCIe 4.0 drive and a welcome update to its popular Rocket NVMe 4.0. It's better in every way, from performance to power efficiency to being single-sided for laptops.
Nvidia CEO hand-delivers world's fastest AI system to OpenAI, again — first DGX H200 given to Sam Altman and Greg Brockman
Nvidia CEO Jensen Huang hand-delivered the world's first DGX H200 computer to OpenAI's CEO and president, continuing a trend of connecting OpenAI with bleeding edge AI compute power.
China's leading homegrown CPU maker announces lineup of Lenovo PCs — five other OEMs have new Zhoaxin-powered designs, too
Zhaoxin, the Chinese tech firm behind CPUs like the Kaixian KX-6000 and KX-7000 series, has announced several important design wins. It says companies including Lenovo, Tsinghua, Ziguang, Ruijie, Honghe, and Seewo have Kaixian-powered devices ready.
Raspberry Pi robot uses AI to motivate runners by shouting encouraging messages
Sir Walter Richardson is using a Raspberry Pi to power his AI-based robot that follows runners, shouting messages of encouragement or discouragement depending on their performance.
TSMC says it doesn't need High-NA EUV chipmaking tools for 1.6nm-class node, but Intel has championed the tech
TSMC says it will not need a high-NA litho tool for its A16 technology but will keep exploring it for A16 and beyond.
Grab the Montech Air 903 Max PC case for just $69 — great value for a high airflow case with four PWN fans
Not everything should cost the earth, and the Montech Air 903 Max is a great example of good value for a reasonable price.
TSMC readies lower-cost 4nm manufacturing tech: Up to 8.5% cheaper
TSMC rearchitects N4P process technology to make it cheaper.
Qualcomm responds to benchmark cheating allegations — Snapdragon X Elite/Plus benchmarks claimed to be fraudulent (Updated)
SemiAccurate reports that it has independently determined that Qualcomm's freshly announced laptop chips are being marketed erroneously to consumers and OEMs.
TSMCs 2nm nodes get NanoFlex, N2P loses backside power delivery
TSMC's 2nm is on track for mass production in H2 2025, but there is a catch with N2P.
TSMC unveils 1.6nm process technology with backside power delivery, rivals Intel's competing design
TSMC's A16 fabrication process features Super Power Rail backside power delivery, will be available to customers in H2 2026
More AMD Zen 5 CPUs spotted in Linux patch notes
AMD Zen 5's upcoming 2024 release continues getting foreshadowed in AMD Linux patches.
12345678910...